Index of /alpine-snapshots/2025-03-01-0000/edge/testing/aarch64/
../
3proxy-0.9.4-r1.apk 25-Oct-2024 19:08 345249
3proxy-doc-0.9.4-r1.apk 25-Oct-2024 19:08 25525
3proxy-openrc-0.9.4-r1.apk 25-Oct-2024 19:08 1720
66-0.8.0.2-r0.apk 12-Feb-2025 17:33 267290
66-dev-0.8.0.2-r0.apk 12-Feb-2025 17:33 463388
66-doc-0.8.0.2-r0.apk 12-Feb-2025 17:33 171775
66-init-0.8.0.2-r0.apk 12-Feb-2025 17:33 1522
66-tools-0.1.1.0-r0.apk 12-Jan-2025 13:30 69450
66-tools-dev-0.1.1.0-r0.apk 12-Jan-2025 13:30 1824
66-tools-doc-0.1.1.0-r0.apk 12-Jan-2025 13:30 39951
66-tools-nsrules-0.1.1.0-r0.apk 12-Jan-2025 13:30 2871
APKINDEX.tar.gz 28-Feb-2025 21:12 971201
a2jmidid-9-r3.apk 25-Oct-2024 19:08 28943
a2jmidid-doc-9-r3.apk 25-Oct-2024 19:08 4285
ab-tidy-0.1.0-r2.apk 23-Feb-2025 21:00 104554
abc-0_git20240102-r0.apk 25-Oct-2024 19:08 5131357
abi-dumper-1.4-r1.apk 26-Jan-2025 06:27 37019
abuild-muon-0.4.0-r0.apk 12-Feb-2025 18:03 1864
ace-of-penguins-1.4-r3.apk 25-Oct-2024 19:08 186098
ace-of-penguins-doc-1.4-r3.apk 25-Oct-2024 19:08 49750
acmetool-0.2.2-r10.apk 12-Feb-2025 17:33 4253900
acmetool-doc-0.2.2-r10.apk 12-Feb-2025 17:33 47713
adbd-0_git20250115-r0.apk 16-Jan-2025 08:11 41996
adguardhome-0.107.57-r0.apk 22-Feb-2025 15:37 10509421
adguardhome-openrc-0.107.57-r0.apk 22-Feb-2025 15:37 2150
adjtimex-1.29-r0.apk 25-Oct-2024 19:08 18928
adjtimex-doc-1.29-r0.apk 25-Oct-2024 19:08 7257
admesh-0.98.5-r0.apk 25-Oct-2024 19:08 27666
admesh-dev-0.98.5-r0.apk 25-Oct-2024 19:08 4139
admesh-doc-0.98.5-r0.apk 25-Oct-2024 19:08 23992
advancemame-3.9-r4.apk 25-Oct-2024 19:08 13322734
advancemame-data-3.9-r4.apk 25-Oct-2024 19:08 6057079
advancemame-doc-3.9-r4.apk 25-Oct-2024 19:08 382538
advancemame-menu-3.9-r4.apk 25-Oct-2024 19:08 857118
advancemame-mess-3.9-r4.apk 25-Oct-2024 19:08 3825452
advancescan-1.18-r1.apk 25-Oct-2024 19:08 266115
advancescan-doc-1.18-r1.apk 25-Oct-2024 19:08 7440
afetch-2.2.0-r1.apk 25-Oct-2024 19:08 9186
afetch-doc-2.2.0-r1.apk 25-Oct-2024 19:08 13902
agate-3.3.8-r0.apk 25-Oct-2024 19:08 876510
agate-openrc-3.3.8-r0.apk 25-Oct-2024 19:08 2034
agrep-0.8.0-r2.apk 25-Oct-2024 19:08 8528
agrep-doc-0.8.0-r2.apk 25-Oct-2024 19:08 4211
aide-0.18.8-r0.apk 25-Oct-2024 19:08 78923
aide-doc-0.18.8-r0.apk 25-Oct-2024 19:08 14112
ajv-cli-6.0.0-r0.apk 22-Feb-2025 15:37 161507
ajv-cli-doc-6.0.0-r0.apk 22-Feb-2025 15:37 2295
alarmwakeup-0.2.1-r0.apk 25-Oct-2024 19:08 6908
alarmwakeup-dbg-0.2.1-r0.apk 25-Oct-2024 19:08 18684
alarmwakeup-dev-0.2.1-r0.apk 25-Oct-2024 19:08 2616
alarmwakeup-libs-0.2.1-r0.apk 25-Oct-2024 19:08 4682
alarmwakeup-utils-0.2.1-r0.apk 25-Oct-2024 19:08 4338
alpine-lift-0.2.0-r20.apk 12-Feb-2025 17:33 3471122
alps-0_git20230807-r9.apk 12-Feb-2025 17:33 5356707
alps-openrc-0_git20230807-r9.apk 12-Feb-2025 17:33 2001
alsa-ucm-conf-asahi-5-r0.apk 25-Oct-2024 19:08 4204
alttab-1.7.1-r0.apk 25-Oct-2024 19:08 38857
alttab-doc-1.7.1-r0.apk 25-Oct-2024 19:08 10550
amber-0.3.3-r0.apk 25-Oct-2024 19:08 397680
amber-mpris-1.2.9-r0.apk 22-Dec-2024 16:48 207592
amber-mpris-dev-1.2.9-r0.apk 22-Dec-2024 16:48 6875
amdgpu-fan-0.1.0-r5.apk 25-Oct-2024 19:08 14347
amdgpu-fan-pyc-0.1.0-r5.apk 25-Oct-2024 19:08 9844
amiitool-2-r2.apk 25-Oct-2024 19:08 7476
ampy-1.1.0-r5.apk 25-Oct-2024 19:08 16181
ampy-doc-1.1.0-r5.apk 25-Oct-2024 19:08 3516
ampy-pyc-1.1.0-r5.apk 25-Oct-2024 19:08 20100
amule-2.3.3-r13.apk 25-Oct-2024 19:08 4456033
amule-doc-2.3.3-r13.apk 25-Oct-2024 19:08 288202
amule-lang-2.3.3-r13.apk 25-Oct-2024 19:08 1644041
anarch-1.0-r1.apk 25-Oct-2024 19:08 93439
anarch-doc-1.0-r1.apk 25-Oct-2024 19:08 18409
anari-sdk-0.7.2-r0.apk 25-Oct-2024 19:08 293486
anari-sdk-dev-0.7.2-r0.apk 25-Oct-2024 19:08 60002
anari-sdk-static-0.7.2-r0.apk 25-Oct-2024 19:08 191976
android-apkeep-0.17.0-r0.apk 25-Oct-2024 19:08 1977758
android-apktool-2.11.0-r0.apk 15-Jan-2025 21:31 24205254
android-file-transfer-4.3-r1.apk 26-Jan-2025 19:30 186911
android-file-transfer-cli-4.3-r1.apk 26-Jan-2025 19:30 110344
android-file-transfer-dev-4.3-r1.apk 26-Jan-2025 19:30 1553
android-file-transfer-libs-4.3-r1.apk 26-Jan-2025 19:30 127037
android-translation-layer-0_git20250114-r0.apk 16-Jan-2025 08:11 2774297
android-translation-layer-dbg-0_git20250114-r0.apk 16-Jan-2025 08:11 765514
angband-4.2.5-r0.apk 25-Oct-2024 19:08 23685008
anki-24.11-r1.apk 12-Feb-2025 17:33 10509641
anki-pyc-24.11-r1.apk 12-Feb-2025 17:33 1233581
ansible-bender-0.10.1-r2.apk 25-Oct-2024 19:08 37168
ansible-bender-doc-0.10.1-r2.apk 25-Oct-2024 19:08 10334
ansible-bender-pyc-0.10.1-r2.apk 25-Oct-2024 19:08 66570
ansiweather-1.19.0-r1.apk 25-Oct-2024 19:08 4833
ansiweather-doc-1.19.0-r1.apk 25-Oct-2024 19:08 3095
antibody-6.1.1-r25.apk 12-Feb-2025 17:33 1752856
antimicrox-3.4.0-r0.apk 25-Oct-2024 19:08 1656977
antimicrox-doc-3.4.0-r0.apk 25-Oct-2024 19:08 23629
aoetools-37-r2.apk 14-Jan-2025 15:34 26060
aoetools-doc-37-r2.apk 14-Jan-2025 15:34 14080
apache-mod-auth-gssapi-1.6.5-r1.apk 25-Oct-2024 19:08 61841
apache-mod-auth-openidc-2.4.16.4-r0.apk 25-Oct-2024 19:08 222979
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk 25-Oct-2024 19:08 4937
apache-mod-auth-openidc-static-2.4.16.4-r0.apk 25-Oct-2024 19:08 311935
apache2-mod-authnz-external-3.3.3-r0.apk 25-Oct-2024 19:08 8114
apache2-mod-authnz-external-doc-3.3.3-r0.apk 25-Oct-2024 19:08 10261
apache2-mod-perl-2.0.13-r1.apk 25-Oct-2024 19:08 760334
apache2-mod-perl-dbg-2.0.13-r1.apk 25-Oct-2024 19:08 73934
apache2-mod-perl-dev-2.0.13-r1.apk 25-Oct-2024 19:08 40732
apache2-mod-perl-doc-2.0.13-r1.apk 25-Oct-2024 19:08 310020
apache2-mod-realdoc-1-r1.apk 25-Oct-2024 19:08 4687
apk-autoupdate-0_git20210421-r1.apk 20-Nov-2024 00:45 14525
apk-autoupdate-doc-0_git20210421-r1.apk 20-Nov-2024 00:45 7123
apk-readme-0.1-r1.apk 25-Oct-2024 19:08 1246
apk-snap-3.1.1-r0.apk 25-Oct-2024 19:08 6804
apk-snap-doc-3.1.1-r0.apk 25-Oct-2024 19:08 20426
apk-tools3-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 54157
apk-tools3-dbg-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 626524
apk-tools3-dev-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 23394
apk-tools3-doc-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 41304
apk-tools3-static-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 2633434
apmpkg-1.5.1-r3.apk 25-Oct-2024 19:08 1694676
apmpkg-bash-completion-1.5.1-r3.apk 25-Oct-2024 19:08 2240
apmpkg-doc-1.5.1-r3.apk 25-Oct-2024 19:08 3125
apmpkg-fish-completion-1.5.1-r3.apk 25-Oct-2024 19:08 2115
apmpkg-zsh-completion-1.5.1-r3.apk 25-Oct-2024 19:08 2505
aports-glmr-0.2-r25.apk 12-Feb-2025 17:33 2431058
apostrophe-3.2-r0.apk 25-Oct-2024 19:08 165508
apostrophe-lang-3.2-r0.apk 25-Oct-2024 19:08 202497
apostrophe-pyc-3.2-r0.apk 25-Oct-2024 19:08 137543
apostrophe-revealjs-5.1.0-r0.apk 25-Oct-2024 19:08 2545437
appcenter-8.0.0-r0.apk 12-Nov-2024 21:56 408991
appcenter-lang-8.0.0-r0.apk 12-Nov-2024 21:56 264505
aprilsh-0.7.12-r2.apk 12-Feb-2025 17:33 1621
aprilsh-client-0.7.12-r2.apk 12-Feb-2025 17:33 3073083
aprilsh-doc-0.7.12-r2.apk 12-Feb-2025 17:33 14687
aprilsh-openrc-0.7.12-r2.apk 12-Feb-2025 17:33 1809
aprilsh-server-0.7.12-r2.apk 12-Feb-2025 17:33 2381147
apt-dater-1.0.4-r4.apk 25-Oct-2024 19:08 58666
apt-dater-doc-1.0.4-r4.apk 25-Oct-2024 19:08 10111
apt-dater-lang-1.0.4-r4.apk 25-Oct-2024 19:08 13447
apt-mirror-0.5.4-r0.apk 25-Oct-2024 19:08 9670
apt-mirror-doc-0.5.4-r0.apk 25-Oct-2024 19:08 4738
aptdec-1.8.0-r1.apk 08-Feb-2025 23:46 87497
aptdec-dev-1.8.0-r1.apk 08-Feb-2025 23:46 3509
aptdec-libs-1.8.0-r1.apk 08-Feb-2025 23:46 15616
apulse-0.1.13-r2.apk 25-Oct-2024 19:08 44859
apulse-doc-0.1.13-r2.apk 25-Oct-2024 19:08 2825
apx-2.4.4-r3.apk 12-Feb-2025 17:33 3202132
apx-doc-2.4.4-r3.apk 12-Feb-2025 17:33 2366
aqemu-0.9.4-r3.apk 25-Oct-2024 19:08 1669855
aqemu-doc-0.9.4-r3.apk 25-Oct-2024 19:08 7695
aravis-0.8.31-r0.apk 25-Oct-2024 19:08 50217
aravis-dev-0.8.31-r0.apk 25-Oct-2024 19:08 35165
aravis-libs-0.8.31-r0.apk 25-Oct-2024 19:08 185862
aravis-viewer-0.8.31-r0.apk 25-Oct-2024 19:08 68731
aravis-viewer-lang-0.8.31-r0.apk 25-Oct-2024 19:08 16377
arc-20221218-r0.apk 25-Oct-2024 19:08 1788
arc-cinnamon-20221218-r0.apk 25-Oct-2024 19:08 69669
arc-dark-20221218-r0.apk 25-Oct-2024 19:08 1791
arc-dark-cinnamon-20221218-r0.apk 25-Oct-2024 19:08 70070
arc-dark-gnome-20221218-r0.apk 25-Oct-2024 19:08 27726
arc-dark-gtk2-20221218-r0.apk 25-Oct-2024 19:08 39319
arc-dark-gtk3-20221218-r0.apk 25-Oct-2024 19:08 95509
arc-dark-gtk4-20221218-r0.apk 25-Oct-2024 19:08 88308
arc-dark-metacity-20221218-r0.apk 25-Oct-2024 19:08 17888
arc-dark-xfwm-20221218-r0.apk 25-Oct-2024 19:08 8062
arc-darker-20221218-r0.apk 25-Oct-2024 19:08 1806
arc-darker-gtk2-20221218-r0.apk 25-Oct-2024 19:08 39427
arc-darker-gtk3-20221218-r0.apk 25-Oct-2024 19:08 126715
arc-darker-gtk4-20221218-r0.apk 25-Oct-2024 19:08 112795
arc-darker-metacity-20221218-r0.apk 25-Oct-2024 19:08 17905
arc-darker-xfwm-20221218-r0.apk 25-Oct-2024 19:08 8056
arc-gnome-20221218-r0.apk 25-Oct-2024 19:08 29329
arc-gtk2-20221218-r0.apk 25-Oct-2024 19:08 38430
arc-gtk3-20221218-r0.apk 25-Oct-2024 19:08 128894
arc-gtk4-20221218-r0.apk 25-Oct-2024 19:08 116241
arc-icon-theme-20161122-r0.apk 25-Oct-2024 19:08 4571310
arc-lighter-20221218-r0.apk 25-Oct-2024 19:08 1804
arc-lighter-gtk2-20221218-r0.apk 25-Oct-2024 19:08 38439
arc-lighter-gtk3-20221218-r0.apk 25-Oct-2024 19:08 127567
arc-lighter-gtk4-20221218-r0.apk 25-Oct-2024 19:08 115524
arc-lighter-metacity-20221218-r0.apk 25-Oct-2024 19:08 17702
arc-lighter-xfwm-20221218-r0.apk 25-Oct-2024 19:08 7901
arc-metacity-20221218-r0.apk 25-Oct-2024 19:08 17658
arc-theme-20221218-r0.apk 25-Oct-2024 19:08 1479
arc-xfwm-20221218-r0.apk 25-Oct-2024 19:08 7878
argocd-2.14.2-r0.apk 17-Feb-2025 15:07 37704833
argocd-bash-completion-2.14.2-r0.apk 17-Feb-2025 15:07 21431
argocd-doc-2.14.2-r0.apk 17-Feb-2025 15:07 5585
argocd-zsh-completion-2.14.2-r0.apk 17-Feb-2025 15:07 4076
arj-0_git20220125-r1.apk 25-Oct-2024 19:08 140222
arj-doc-0_git20220125-r1.apk 25-Oct-2024 19:08 10710
armagetronad-0.2.9.1.1-r0.apk 25-Oct-2024 19:08 1651447
armagetronad-doc-0.2.9.1.1-r0.apk 25-Oct-2024 19:08 94167
art_standalone-0_git20250115-r0.apk 16-Jan-2025 08:11 18924189
art_standalone-dbg-0_git20250115-r0.apk 16-Jan-2025 08:11 147721493
art_standalone-dev-0_git20250115-r0.apk 16-Jan-2025 08:11 9034042
asahi-audio-2.5-r0.apk 01-Dec-2024 09:04 1836803
asahi-fwextract-0.7.1-r0.apk 25-Oct-2024 19:08 56179
asahi-scripts-20240822-r0.apk 25-Oct-2024 19:08 8239
asdf-0.16.4-r0.apk 22-Feb-2025 19:23 4542608
asdf-doc-0.16.4-r0.apk 22-Feb-2025 19:23 2238
aspell-es-1.11-r0.apk 25-Oct-2024 19:08 545808
aspnetcore6-runtime-6.0.36-r1.apk 25-Nov-2024 04:51 8746549
aspnetcore6-targeting-pack-6.0.36-r1.apk 25-Nov-2024 04:51 2080810
asymptote-2.91-r0.apk 25-Oct-2024 19:08 1411025
asymptote-doc-2.91-r0.apk 25-Oct-2024 19:08 2963173
atac-0.18.1-r0.apk 25-Nov-2024 21:57 4798402
atlantik-3.5.10_git20240323-r0.apk 25-Oct-2024 19:08 400010
atlantik-doc-3.5.10_git20240323-r0.apk 25-Oct-2024 19:08 81212
atlantik-lang-3.5.10_git20240323-r0.apk 25-Oct-2024 19:08 70280
atomicparsley-20240608-r0.apk 25-Oct-2024 19:08 122130
atool-0.39.0-r4.apk 25-Oct-2024 19:08 18148
atool-bash-completion-0.39.0-r4.apk 25-Oct-2024 19:08 2089
atool-doc-0.39.0-r4.apk 25-Oct-2024 19:08 9830
atools-go-0.3.0-r1.apk 12-Feb-2025 17:33 1039532
atools-go-doc-0.3.0-r1.apk 12-Feb-2025 17:33 2291
ats2-0.4.2-r0.apk 25-Oct-2024 19:08 1816267
aufs-util-20161219-r3.apk 25-Oct-2024 19:08 229520
aufs-util-dev-20161219-r3.apk 25-Oct-2024 19:08 1494
aufs-util-doc-20161219-r3.apk 25-Oct-2024 19:08 34711
authenticator-rs-0.7.5-r0.apk 25-Oct-2024 19:08 1999878
authenticator-rs-lang-0.7.5-r0.apk 25-Oct-2024 19:08 3824
autoconf-policy-0.1-r0.apk 25-Oct-2024 19:08 5624
autoremove-torrents-1.5.5-r0.apk 25-Oct-2024 19:08 36284
autoremove-torrents-doc-1.5.5-r0.apk 25-Oct-2024 19:08 11855
autoremove-torrents-pyc-1.5.5-r0.apk 25-Oct-2024 19:08 55078
autorestic-1.8.3-r2.apk 12-Feb-2025 17:33 3554253
autoscan-1.4.0-r8.apk 12-Feb-2025 17:33 5115025
autoscan-openrc-1.4.0-r8.apk 12-Feb-2025 17:33 2033
autotrash-0.4.7-r0.apk 25-Oct-2024 19:08 23360
autotrash-pyc-0.4.7-r0.apk 25-Oct-2024 19:08 14396
avahi2dns-0.0.1_git20240102-r4.apk 12-Feb-2025 17:33 2250389
avahi2dns-openrc-0.0.1_git20240102-r4.apk 12-Feb-2025 17:33 1749
avara-0.7.1-r1.apk 04-Nov-2024 08:52 22430352
avarice-2.14-r4.apk 25-Oct-2024 19:08 68118
avarice-doc-2.14-r4.apk 25-Oct-2024 19:08 9673
avra-1.4.2-r0.apk 25-Oct-2024 19:08 41383
avra-dev-1.4.2-r0.apk 25-Oct-2024 19:08 260850
aws-lc-1.46.1-r0.apk 22-Feb-2025 15:37 1389116
aws-lc-dev-1.46.1-r0.apk 22-Feb-2025 15:37 420375
aws-lc-tools-1.46.1-r0.apk 22-Feb-2025 15:37 126441
azote-1.14.0-r0.apk 14-Dec-2024 20:38 7979175
azote-pyc-1.14.0-r0.apk 14-Dec-2024 20:38 100361
azpainter-3.0.11-r0.apk 22-Feb-2025 16:07 805269
azpainter-doc-3.0.11-r0.apk 22-Feb-2025 16:07 42904
azure-iot-sdk-c-static-1.11.0-r0.apk 25-Oct-2024 19:08 823576
b2-tools-4.2.0-r0.apk 12-Jan-2025 13:34 73991
b2-tools-pyc-4.2.0-r0.apk 12-Jan-2025 13:34 138699
b2sum-20190729-r2.apk 25-Oct-2024 19:08 14875
b2sum-doc-20190729-r2.apk 25-Oct-2024 19:08 2797
backup-manager-0.7.15-r1.apk 25-Oct-2024 19:08 56640
baikal-0.10.1-r0.apk 10-Nov-2024 17:23 1310294
baikal-mysql-0.10.1-r0.apk 10-Nov-2024 17:23 1260
baikal-pgsql-0.10.1-r0.apk 10-Nov-2024 17:23 1264
baikal-sqlite-0.10.1-r0.apk 10-Nov-2024 17:23 1412
bake-2.5.1-r0.apk 25-Oct-2024 19:08 112266
bakelite-0.4.2-r0.apk 25-Oct-2024 19:08 33962
bananui-2.0.0-r0.apk 25-Oct-2024 19:08 57101
bananui-clock-0.1.0-r0.apk 25-Oct-2024 19:08 7568
bananui-daemons-0.1.0-r0.apk 25-Oct-2024 19:08 46430
bananui-dbg-2.0.0-r0.apk 25-Oct-2024 19:08 176380
bananui-demos-2.0.0-r0.apk 25-Oct-2024 19:08 10796
bananui-dev-2.0.0-r0.apk 25-Oct-2024 19:08 90371
bananui-shell-0.2.0-r0.apk 25-Oct-2024 19:08 109987
bankstown-lv2-1.1.0-r0.apk 25-Oct-2024 19:08 160171
baresip-3.20.0-r0.apk 25-Feb-2025 12:47 1173851
baresip-dev-3.20.0-r0.apk 25-Feb-2025 12:47 16200
barman-3.13.0-r0.apk 25-Feb-2025 06:37 353707
barman-bash-completion-3.13.0-r0.apk 25-Feb-2025 06:37 1619
barman-doc-3.13.0-r0.apk 25-Feb-2025 06:37 82163
barman-pyc-3.13.0-r0.apk 25-Feb-2025 06:37 563473
barnyard2-2.1.14_git20160413-r1.apk 25-Oct-2024 19:08 127973
barnyard2-openrc-2.1.14_git20160413-r1.apk 25-Oct-2024 19:08 2824
barrier-2.4.0-r2.apk 17-Feb-2025 15:07 962333
barrier-doc-2.4.0-r2.apk 17-Feb-2025 15:07 13303
bartib-1.0.1-r1.apk 25-Oct-2024 19:08 346181
base64c-0.2.1-r0.apk 25-Oct-2024 19:08 4640
base64c-dev-0.2.1-r0.apk 25-Oct-2024 19:08 5494
batmon-0.0.1-r0.apk 25-Oct-2024 19:08 431704
bazel7-7.5.0-r0.apk 12-Feb-2025 17:33 30541536
bazel8-8.1.1-r0.apk 26-Feb-2025 20:40 31703875
bcg729-1.1.1-r0.apk 25-Oct-2024 19:08 34876
bcg729-dev-1.1.1-r0.apk 25-Oct-2024 19:08 3550
bchunk-1.2.2-r3.apk 25-Oct-2024 19:08 7427
bchunk-doc-1.2.2-r3.apk 25-Oct-2024 19:08 3065
bdfr-2.6.2-r1.apk 25-Oct-2024 19:08 134074
beancount-language-server-1.3.6-r0.apk 05-Nov-2024 23:37 1242436
beard-0.4-r0.apk 25-Oct-2024 19:08 3217
beard-doc-0.4-r0.apk 25-Oct-2024 19:08 2534
bees-0.10-r2.apk 25-Oct-2024 19:08 282924
bees-openrc-0.10-r2.apk 25-Oct-2024 19:08 1993
belcard-5.3.105-r0.apk 25-Feb-2025 12:48 16375
belcard-dev-5.3.105-r0.apk 25-Feb-2025 12:48 11726
belcard-libs-5.3.105-r0.apk 25-Feb-2025 12:48 209328
belle-sip-5.3.105-r0.apk 25-Feb-2025 12:52 598339
belle-sip-dev-5.3.105-r0.apk 25-Feb-2025 12:52 55170
belr-5.3.105-r0.apk 25-Feb-2025 12:52 112362
belr-dev-5.3.105-r0.apk 25-Feb-2025 12:52 14881
berry-lang-1.1.0-r0.apk 25-Oct-2024 19:08 113393
bestline-0.0_git20211108-r0.apk 25-Oct-2024 19:08 21270
bestline-dev-0.0_git20211108-r0.apk 25-Oct-2024 19:08 1718
bestline-doc-0.0_git20211108-r0.apk 25-Oct-2024 19:08 18463002
beszel-0.9.1-r1.apk 12-Feb-2025 17:33 13547240
beszel-agent-0.9.1-r1.apk 12-Feb-2025 17:33 2968797
beszel-agent-openrc-0.9.1-r1.apk 12-Feb-2025 17:33 1991
beszel-openrc-0.9.1-r1.apk 12-Feb-2025 17:33 2078
bgpq4-1.15-r0.apk 25-Oct-2024 19:08 34408
bgpq4-doc-1.15-r0.apk 25-Oct-2024 19:08 6494
bgs-0.8-r1.apk 25-Oct-2024 19:08 5688
bgs-doc-0.8-r1.apk 25-Oct-2024 19:08 2356
bindfs-1.17.7-r0.apk 02-Jan-2025 15:14 21693
bindfs-doc-1.17.7-r0.apk 02-Jan-2025 15:14 9216
binwalk-3.1.0-r0.apk 07-Feb-2025 15:46 994742
biome-1.9.4-r1.apk 27-Oct-2024 17:45 5185736
biometryd-0.3.1-r7.apk 17-Feb-2025 15:07 306045
biometryd-dev-0.3.1-r7.apk 17-Feb-2025 15:07 13609
bionic_translation-0_git20240525-r0.apk 25-Oct-2024 19:08 47377
bionic_translation-dbg-0_git20240525-r0.apk 25-Oct-2024 19:08 120939
bionic_translation-dev-0_git20240525-r0.apk 25-Oct-2024 19:08 1746
bird3-3.0.0-r0.apk 20-Dec-2024 17:10 556691
bird3-dbg-3.0.0-r0.apk 20-Dec-2024 17:10 2242733
bird3-openrc-3.0.0-r0.apk 20-Dec-2024 17:10 2359
birdtray-1.9.0-r1.apk 25-Oct-2024 19:08 412709
bitlbee-facebook-1.2.2-r0.apk 25-Oct-2024 19:08 59547
bitlbee-mastodon-1.4.5-r0.apk 25-Oct-2024 19:08 46456
bitritter-0.1.1-r0.apk 25-Oct-2024 19:08 2311741
bkt-0.8.0-r0.apk 25-Oct-2024 19:08 351539
bkt-doc-0.8.0-r0.apk 25-Oct-2024 19:08 7431
blackbox-1.20220610-r1.apk 25-Oct-2024 19:08 16083
blip-0.10-r0.apk 25-Oct-2024 19:08 15678
blip-doc-0.10-r0.apk 25-Oct-2024 19:08 31685
bliss-0.77-r1.apk 25-Oct-2024 19:08 67062
bliss-dev-0.77-r1.apk 25-Oct-2024 19:08 109435
boa-cli-0.20-r0.apk 05-Dec-2024 22:08 7258967
bobcat-4.09.00-r0.apk 25-Oct-2024 19:08 685081
bobcat-dev-4.09.00-r0.apk 25-Oct-2024 19:08 710646
bobcat-doc-4.09.00-r0.apk 25-Oct-2024 19:08 353712
bochs-2.8-r1.apk 22-Feb-2025 15:37 915207
bochs-doc-2.8-r1.apk 22-Feb-2025 15:37 142334
boinc-7.24.3-r0.apk 25-Oct-2024 19:08 1598096
boinc-dev-7.24.3-r0.apk 25-Oct-2024 19:08 604865
boinc-doc-7.24.3-r0.apk 25-Oct-2024 19:08 8184
boinc-gui-7.24.3-r0.apk 25-Oct-2024 19:08 1015278
boinc-lang-7.24.3-r0.apk 25-Oct-2024 19:08 897997
boinc-libs-7.24.3-r0.apk 25-Oct-2024 19:08 203635
boinc-screensaver-7.24.3-r0.apk 25-Oct-2024 19:08 125453
bomctl-0.1.9-r3.apk 12-Feb-2025 17:33 9058981
bomctl-bash-completion-0.1.9-r3.apk 12-Feb-2025 17:33 5194
bomctl-fish-completion-0.1.9-r3.apk 12-Feb-2025 17:33 4386
bomctl-zsh-completion-0.1.9-r3.apk 12-Feb-2025 17:33 4094
bonzomatic-20230615-r0.apk 25-Oct-2024 19:08 657145
bootchart2-0.14.9-r0.apk 03-Jan-2025 10:13 140294
bootinfo-0.1.0-r4.apk 25-Oct-2024 19:08 19897
bootinfo-pyc-0.1.0-r4.apk 25-Oct-2024 19:08 8443
bootloose-0.7.1-r8.apk 12-Feb-2025 17:33 2145942
bootterm-0.5-r0.apk 25-Oct-2024 19:08 19282
bootterm-dbg-0.5-r0.apk 25-Oct-2024 19:08 2341
bore-0.5.2-r0.apk 15-Dec-2024 19:25 516373
boson-0_git20211219-r0.apk 25-Oct-2024 19:08 17889
boxed-cpp-1.4.3-r0.apk 25-Oct-2024 19:08 1216
boxed-cpp-dev-1.4.3-r0.apk 25-Oct-2024 19:08 6375
boxed-cpp-doc-1.4.3-r0.apk 25-Oct-2024 19:08 5574
boxes-2.3.1-r0.apk 25-Oct-2024 19:08 79141
boxes-doc-2.3.1-r0.apk 25-Oct-2024 19:08 7285
brial-1.2.11-r4.apk 25-Oct-2024 19:08 1078551
brial-dev-1.2.11-r4.apk 25-Oct-2024 19:08 1731267
brltty-6.7-r0.apk 25-Oct-2024 19:08 2321101
brltty-dev-6.7-r0.apk 25-Oct-2024 19:08 143422
brltty-doc-6.7-r0.apk 25-Oct-2024 19:08 9612
brltty-lang-6.7-r0.apk 25-Oct-2024 19:08 152272
brltty-static-6.7-r0.apk 25-Oct-2024 19:08 25422
btcd-0.24.0-r5.apk 12-Feb-2025 17:33 14678134
btfs-2.24-r12.apk 25-Oct-2024 19:08 32874
btfs-doc-2.24-r12.apk 25-Oct-2024 19:08 2446
btpd-0.16-r2.apk 25-Oct-2024 19:08 79651
btpd-doc-0.16-r2.apk 25-Oct-2024 19:08 8597
buf-1.50.0-r1.apk 12-Feb-2025 17:33 13382023
buf-bash-completion-1.50.0-r1.apk 12-Feb-2025 17:33 8700
buf-fish-completion-1.50.0-r1.apk 12-Feb-2025 17:33 4341
buf-protoc-plugins-1.50.0-r1.apk 12-Feb-2025 17:33 12252752
buf-zsh-completion-1.50.0-r1.apk 12-Feb-2025 17:33 4057
buildcache-0.28.9-r0.apk 25-Oct-2024 19:08 765002
bump2version-1.0.1-r6.apk 25-Oct-2024 19:08 21351
bump2version-pyc-1.0.1-r6.apk 25-Oct-2024 19:08 29806
burp-3.1.4-r0.apk 25-Oct-2024 19:08 171895
burp-doc-3.1.4-r0.apk 25-Oct-2024 19:08 101795
burp-server-3.1.4-r0.apk 25-Oct-2024 19:08 37475
butane-0.22.0-r2.apk 12-Feb-2025 17:33 2746442
bwrap-oci-0.2-r1.apk 25-Oct-2024 19:08 15604
bwrap-oci-doc-0.2-r1.apk 25-Oct-2024 19:08 2545
c2rust-0.18.0-r1.apk 25-Oct-2024 19:08 1890226
cadence-0.9.2-r0.apk 25-Oct-2024 19:08 1977401
caffeine-ng-4.2.0-r1.apk 25-Oct-2024 19:08 102812
caffeine-ng-doc-4.2.0-r1.apk 25-Oct-2024 19:08 3236
caffeine-ng-lang-4.2.0-r1.apk 25-Oct-2024 19:08 35183
caja-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 19:08 25262
calibre-7.26.0-r0.apk 23-Feb-2025 19:10 41651149
calibre-bash-completion-7.26.0-r0.apk 23-Feb-2025 19:10 5358
calibre-doc-7.26.0-r0.apk 23-Feb-2025 19:10 2065685
calibre-pyc-7.26.0-r0.apk 23-Feb-2025 19:10 2599
calibre-zsh-completion-7.26.0-r0.apk 23-Feb-2025 19:10 45599
caprine-2.60.3-r0.apk 04-Dec-2024 21:56 17131733
caps2esc-0.3.2-r0.apk 25-Oct-2024 19:08 4918
care-2.3.0-r1.apk 25-Oct-2024 19:08 95522
care-doc-2.3.0-r1.apk 25-Oct-2024 19:08 8072
cargo-chef-0.1.71-r0.apk 29-Jan-2025 23:00 1072143
cargo-chef-doc-0.1.71-r0.apk 29-Jan-2025 23:00 9226
cargo-crev-0.25.9-r0.apk 25-Oct-2024 19:08 5995183
cargo-expand-1.0.100-r0.apk 12-Jan-2025 21:00 2316455
cargo-expand-doc-1.0.100-r0.apk 12-Jan-2025 21:00 5794
cargo-flamegraph-0.6.7-r0.apk 13-Jan-2025 11:49 1184665
cargo-flamegraph-bash-completion-0.6.7-r0.apk 13-Jan-2025 11:49 2385
cargo-flamegraph-doc-0.6.7-r0.apk 13-Jan-2025 11:49 15104
cargo-flamegraph-fish-completion-0.6.7-r0.apk 13-Jan-2025 11:49 2564
cargo-flamegraph-zsh-completion-0.6.7-r0.apk 13-Jan-2025 11:49 2927
cargo-generate-0.22.1-r0.apk 24-Feb-2025 15:36 2229510
cargo-leptos-0.2.28-r0.apk 12-Feb-2025 17:33 6022655
cargo-leptos-doc-0.2.28-r0.apk 12-Feb-2025 17:33 2221
cargo-machete-0.7.0-r0.apk 28-Dec-2024 18:15 1184130
cargo-machete-doc-0.7.0-r0.apk 28-Dec-2024 18:15 4040
cargo-run-bin-1.7.2-r0.apk 25-Oct-2024 19:08 434885
cargo-run-bin-doc-1.7.2-r0.apk 25-Oct-2024 19:08 5187
cargo-shuttle-0.52.0-r0.apk 04-Feb-2025 22:02 4352488
cargo-shuttle-bash-completion-0.52.0-r0.apk 04-Feb-2025 22:02 4805
cargo-shuttle-doc-0.52.0-r0.apk 04-Feb-2025 22:02 9273
cargo-shuttle-fish-completion-0.52.0-r0.apk 04-Feb-2025 22:02 7514
cargo-shuttle-zsh-completion-0.52.0-r0.apk 04-Feb-2025 22:02 7457
cargo-sort-1.0.9_git20240110-r0.apk 25-Oct-2024 19:08 395571
cargo-udeps-0.1.55-r1.apk 24-Feb-2025 15:36 4808072
cargo-udeps-doc-0.1.55-r1.apk 24-Feb-2025 15:36 7596
cargo-update-16.1.0-r1.apk 24-Feb-2025 15:36 1070946
cargo-update-doc-16.1.0-r1.apk 24-Feb-2025 15:36 8411
cargo-vendor-filterer-0.5.9-r1.apk 25-Oct-2024 19:08 434135
castero-0.9.5-r3.apk 25-Oct-2024 19:08 53101
castero-pyc-0.9.5-r3.apk 25-Oct-2024 19:08 97209
castor-0.9.0-r2.apk 25-Oct-2024 19:08 749085
cataclysm-dda-0.h-r0.apk 12-Jan-2025 13:30 20347602
cataclysm-dda-curses-0.h-r0.apk 12-Jan-2025 13:30 11717140
cataclysm-dda-doc-0.h-r0.apk 12-Jan-2025 13:30 4758
cataclysm-dda-lang-0.h-r0.apk 12-Jan-2025 13:30 39385954
cataclysm-dda-tiles-0.h-r0.apk 12-Jan-2025 13:30 50681706
catcodec-1.0.5-r2.apk 25-Oct-2024 19:08 12435
catcodec-doc-1.0.5-r2.apk 25-Oct-2024 19:08 5025
catdoc-0.95-r1.apk 25-Oct-2024 19:08 112878
catdoc-doc-0.95-r1.apk 25-Oct-2024 19:08 9465
catfish-4.20.0-r0.apk 27-Dec-2024 10:36 129328
catfish-doc-4.20.0-r0.apk 27-Dec-2024 10:36 13202
catfish-lang-4.20.0-r0.apk 27-Dec-2024 10:36 166277
catfish-pyc-4.20.0-r0.apk 27-Dec-2024 10:36 103530
cava-0.10.4-r1.apk 17-Feb-2025 22:22 45155
cbqn-0.8.0-r0.apk 07-Jan-2025 18:00 699777
cc65-2.19-r0.apk 25-Oct-2024 19:08 9262604
ccrtp-2.1.2-r0.apk 25-Oct-2024 19:08 86662
ccrtp-dev-2.1.2-r0.apk 25-Oct-2024 19:08 53977
ccrtp-doc-2.1.2-r0.apk 25-Oct-2024 19:08 32015
ccze-0.2.1-r1.apk 25-Oct-2024 19:08 80579
ccze-dev-0.2.1-r1.apk 25-Oct-2024 19:08 3400
ccze-doc-0.2.1-r1.apk 25-Oct-2024 19:08 9047
cdba-1.0-r2.apk 25-Oct-2024 19:08 8249
cdba-server-1.0-r2.apk 25-Oct-2024 19:08 22239
cddlib-0.94m-r2.apk 25-Oct-2024 19:08 185718
cddlib-dev-0.94m-r2.apk 25-Oct-2024 19:08 14429
cddlib-doc-0.94m-r2.apk 25-Oct-2024 19:08 884461
cddlib-static-0.94m-r2.apk 25-Oct-2024 19:08 264222
cddlib-tools-0.94m-r2.apk 25-Oct-2024 19:08 96301
cdist-7.0.0-r6.apk 25-Oct-2024 19:08 523252
cdist-pyc-7.0.0-r6.apk 25-Oct-2024 19:08 130642
cdogs-sdl-2.1.0-r0.apk 25-Oct-2024 19:08 35030441
certbot-dns-njalla-2.0.0-r0.apk 27-Nov-2024 23:05 9520
certbot-dns-njalla-pyc-2.0.0-r0.apk 27-Nov-2024 23:05 4311
certbot-dns-pdns-0.1.1-r0.apk 25-Oct-2024 19:08 9065
certbot-dns-pdns-pyc-0.1.1-r0.apk 25-Oct-2024 19:08 3955
certigo-1.16.0-r20.apk 12-Feb-2025 17:33 3481458
certstrap-1.3.0-r20.apk 12-Feb-2025 17:33 2116308
cfssl-1.6.5-r2.apk 12-Feb-2025 17:33 27890820
cgiirc-0.5.12-r1.apk 25-Oct-2024 19:08 136306
cgo-0.6.1-r1.apk 25-Oct-2024 19:08 10485
cgo-doc-0.6.1-r1.apk 25-Oct-2024 19:08 4213
chamo-4.0-r0.apk 25-Oct-2024 19:08 9246528
chamo-byte-4.0-r0.apk 25-Oct-2024 19:08 1543580
chamo-dev-4.0-r0.apk 25-Oct-2024 19:08 4428263
charls-2.4.2-r0.apk 25-Oct-2024 19:08 66254
charls-dev-2.4.2-r0.apk 25-Oct-2024 19:08 27246
chasquid-1.15.0-r1.apk 12-Feb-2025 17:33 10449084
chasquid-doc-1.15.0-r1.apk 12-Feb-2025 17:33 15601
chasquid-openrc-1.15.0-r1.apk 12-Feb-2025 17:33 1930
checkpolicy-3.6-r0.apk 25-Oct-2024 19:08 365547
checkpolicy-doc-3.6-r0.apk 25-Oct-2024 19:08 4265
cherrytree-1.2.0-r4.apk 17-Feb-2025 15:07 2657988
cherrytree-doc-1.2.0-r4.apk 17-Feb-2025 15:07 2151
cherrytree-lang-1.2.0-r4.apk 17-Feb-2025 15:07 865876
chicago95-3.0.1_git20240619-r0.apk 25-Oct-2024 19:08 492654
chicago95-fonts-3.0.1_git20240619-r0.apk 25-Oct-2024 19:08 219992
chicago95-icons-3.0.1_git20240619-r0.apk 25-Oct-2024 19:08 12587318
chim-1.1.2-r1.apk 25-Oct-2024 19:08 1678956
chim-doc-1.1.2-r1.apk 25-Oct-2024 19:08 2879
chocolate-doom-3.1.0-r0.apk 25-Oct-2024 19:08 1795376
chocolate-doom-doc-3.1.0-r0.apk 25-Oct-2024 19:08 236896
cilium-cli-0.16.13-r2.apk 12-Feb-2025 17:33 53542359
cilium-cli-bash-completion-0.16.13-r2.apk 12-Feb-2025 17:33 5125
cilium-cli-fish-completion-0.16.13-r2.apk 12-Feb-2025 17:33 4365
cilium-cli-zsh-completion-0.16.13-r2.apk 12-Feb-2025 17:33 4080
cimg-3.4.1-r0.apk 25-Oct-2024 19:08 845798
cinny-4.2.3-r0.apk 13-Nov-2024 11:52 11919189
cinny-web-4.4.0-r0.apk 28-Feb-2025 06:00 10762704
circuslinux-1.0.3-r1.apk 25-Oct-2024 19:08 20258
circuslinux-data-1.0.3-r1.apk 25-Oct-2024 19:08 1181487
circuslinux-doc-1.0.3-r1.apk 25-Oct-2024 19:08 18399
ckb-next-0.6.0-r1.apk 25-Oct-2024 19:08 1346554
ckb-next-daemon-0.6.0-r1.apk 25-Oct-2024 19:08 79049
ckb-next-daemon-openrc-0.6.0-r1.apk 25-Oct-2024 19:08 1900
ckb-next-dev-0.6.0-r1.apk 25-Oct-2024 19:08 5138
clatd-1.6-r0.apk 25-Oct-2024 19:08 12910
clementine-1.4.1_git20250121-r1.apk 17-Feb-2025 15:07 6405845
clevis-21-r0.apk 26-Jan-2025 06:27 57922
clevis-bash-completion-21-r0.apk 26-Jan-2025 06:27 2085
clevis-dbg-21-r0.apk 26-Jan-2025 06:27 65950
clevis-doc-21-r0.apk 26-Jan-2025 06:27 23727
clevis-extra-pins-0_git20230629-r0.apk 25-Oct-2024 19:08 4767
click-0.5.2-r4.apk 17-Feb-2025 15:07 162148
click-dev-0.5.2-r4.apk 17-Feb-2025 15:07 9346
click-doc-0.5.2-r4.apk 17-Feb-2025 15:07 3387
click-pyc-0.5.2-r4.apk 17-Feb-2025 15:07 178970
clinfo-3.0.23.01.25-r0.apk 25-Oct-2024 19:08 48323
clinfo-doc-3.0.23.01.25-r0.apk 25-Oct-2024 19:08 6625
cliphist-0.6.1-r2.apk 12-Feb-2025 17:33 903350
cliphist-fzf-0.6.1-r2.apk 12-Feb-2025 17:33 1771
clipit-1.4.5-r3.apk 25-Oct-2024 19:08 67646
clipit-doc-1.4.5-r3.apk 25-Oct-2024 19:08 2443
cliquer-1.22-r2.apk 25-Oct-2024 19:08 7681
cliquer-dev-1.22-r2.apk 25-Oct-2024 19:08 7598
cliquer-libs-1.22-r2.apk 25-Oct-2024 19:08 24651
cliquer-static-1.22-r2.apk 25-Oct-2024 19:08 30173
cliquer-tests-1.22-r2.apk 25-Oct-2024 19:08 24619
cln-1.3.7-r0.apk 25-Oct-2024 19:08 452385
cln-dev-1.3.7-r0.apk 25-Oct-2024 19:08 1237286
cln-doc-1.3.7-r0.apk 25-Oct-2024 19:08 79658
cloud-hypervisor-44.0-r0.apk 05-Feb-2025 21:36 2449489
cloudflared-2024.12.1-r2.apk 12-Feb-2025 17:33 9102786
cloudflared-doc-2024.12.1-r2.apk 12-Feb-2025 17:33 1887
cloudflared-openrc-2024.12.1-r2.apk 12-Feb-2025 17:33 1767
cloudfoundry-cli-8.7.9-r6.apk 12-Feb-2025 17:33 8862762
cluster-glue-1.0.12-r5.apk 25-Oct-2024 19:08 318328
cluster-glue-dev-1.0.12-r5.apk 25-Oct-2024 19:08 1114889
cluster-glue-doc-1.0.12-r5.apk 25-Oct-2024 19:08 33714
cluster-glue-libs-1.0.12-r5.apk 25-Oct-2024 19:08 121140
cm256cc-1.1.1-r1.apk 08-Feb-2025 23:46 10900
cm256cc-dev-1.1.1-r1.apk 08-Feb-2025 23:46 15483
cmusfm-0.5.0-r0.apk 25-Oct-2024 19:08 15137
coccinelle-1.1.1-r2.apk 25-Oct-2024 19:08 9386453
coccinelle-bash-completion-1.1.1-r2.apk 25-Oct-2024 19:08 2921
coccinelle-doc-1.1.1-r2.apk 25-Oct-2024 19:08 16356
cocogitto-6.2.0-r1.apk 12-Dec-2024 21:08 2052268
cocogitto-bash-completion-6.2.0-r1.apk 12-Dec-2024 21:08 3113
cocogitto-doc-6.2.0-r1.apk 12-Dec-2024 21:08 36775
cocogitto-fish-completion-6.2.0-r1.apk 12-Dec-2024 21:08 3368
cocogitto-zsh-completion-6.2.0-r1.apk 12-Dec-2024 21:08 3114
code-minimap-0.6.7-r0.apk 12-Dec-2024 19:37 339562
code-minimap-doc-0.6.7-r0.apk 12-Dec-2024 19:37 8181
code-oss-1.97.2-r0.apk 17-Feb-2025 15:07 25170011
code-oss-bash-completion-1.97.2-r0.apk 17-Feb-2025 15:07 2192
code-oss-zsh-completion-1.97.2-r0.apk 17-Feb-2025 15:07 2676
codeberg-cli-0.4.7-r0.apk 07-Jan-2025 06:35 1878201
codeberg-cli-bash-completion-0.4.7-r0.apk 07-Jan-2025 06:35 5043
codeberg-cli-fish-completion-0.4.7-r0.apk 07-Jan-2025 06:35 4875
codeberg-cli-zsh-completion-0.4.7-r0.apk 07-Jan-2025 06:35 6837
coin-4.0.3-r0.apk 13-Dec-2024 20:39 2997823
coin-dev-4.0.3-r0.apk 13-Dec-2024 20:39 334056
colormake-0.9.20170221-r0.apk 25-Oct-2024 19:08 4166
colormake-doc-0.9.20170221-r0.apk 25-Oct-2024 19:08 2746
colorpicker-0_git20201128-r1.apk 25-Oct-2024 19:08 4354
comics-downloader-0.33.8-r7.apk 12-Feb-2025 17:33 3656280
comics-downloader-gui-0.33.8-r7.apk 12-Feb-2025 17:33 5463590
commoncpp-7.0.1-r1.apk 25-Oct-2024 19:08 287017
commoncpp-dev-7.0.1-r1.apk 25-Oct-2024 19:08 177177
commoncpp-doc-7.0.1-r1.apk 25-Oct-2024 19:08 15184
commoncpp-tools-7.0.1-r1.apk 25-Oct-2024 19:08 43478
compiz-0.9.14.2-r8.apk 17-Feb-2025 15:07 6202608
compiz-dev-0.9.14.2-r8.apk 17-Feb-2025 15:07 119930
compiz-lang-0.9.14.2-r8.apk 17-Feb-2025 15:07 1265394
compiz-pyc-0.9.14.2-r8.apk 17-Feb-2025 15:07 114130
compiz-utils-0.9.14.2-r8.apk 17-Feb-2025 15:07 3358
conntracct-0.2.7-r28.apk 12-Feb-2025 17:33 4770366
conntracct-openrc-0.2.7-r28.apk 12-Feb-2025 17:33 1898
console_bridge-1.0.2-r0.apk 25-Oct-2024 19:08 9866
console_bridge-dev-1.0.2-r0.apk 25-Oct-2024 19:08 4838
consul-replicate-0.4.0-r28.apk 12-Feb-2025 17:33 2716714
contractor-0.3.5-r0.apk 12-Nov-2024 21:56 27166
convert2json-1.1.2-r0.apk 30-Nov-2024 11:15 2176085
convert2json-doc-1.1.2-r0.apk 30-Nov-2024 11:15 10951
copyq-9.1.0-r1.apk 12-Dec-2024 17:44 2652469
copyq-bash-completion-9.1.0-r1.apk 12-Dec-2024 17:44 2266
copyq-doc-9.1.0-r1.apk 12-Dec-2024 17:44 3523
cortex-tenant-1.15.2-r3.apk 12-Feb-2025 17:33 3981257
cortex-tenant-openrc-1.15.2-r3.apk 12-Feb-2025 17:33 2030
cowsay-3.04-r2.apk 25-Oct-2024 19:08 18814
cowsay-doc-3.04-r2.apk 25-Oct-2024 19:08 4093
coxeter-3.0-r1.apk 25-Oct-2024 19:08 49915
coxeter-dev-3.0-r1.apk 25-Oct-2024 19:08 58104
coxeter-libs-3.0-r1.apk 25-Oct-2024 19:08 304855
cpiped-0.1.0-r0.apk 25-Oct-2024 19:08 6732
cpplint-2.0.0-r0.apk 21-Nov-2024 20:58 78792
cpplint-pyc-2.0.0-r0.apk 21-Nov-2024 20:58 98479
cproc-0_git20240427-r1.apk 03-Nov-2024 21:51 54840
cproc-dbg-0_git20240427-r1.apk 03-Nov-2024 21:51 123873
cproc-doc-0_git20240427-r1.apk 03-Nov-2024 21:51 2917
cpuburn-1.4a_git20160316-r2.apk 25-Oct-2024 19:08 3407
cpufetch-1.06-r0.apk 25-Oct-2024 19:08 44384
cpufetch-doc-1.06-r0.apk 25-Oct-2024 19:08 3180
crazydiskinfo-1.1.0-r1.apk 25-Oct-2024 19:08 33509
create-tauri-app-4.5.9-r0.apk 25-Dec-2024 22:07 636259
create-tauri-app-doc-4.5.9-r0.apk 25-Dec-2024 22:07 6236
createrepo_c-1.1.4-r0.apk 25-Oct-2024 19:08 50623
createrepo_c-bash-completion-1.1.4-r0.apk 25-Oct-2024 19:08 2949
createrepo_c-dev-1.1.4-r0.apk 25-Oct-2024 19:08 32230
createrepo_c-doc-1.1.4-r0.apk 25-Oct-2024 19:08 8864
createrepo_c-libs-1.1.4-r0.apk 25-Oct-2024 19:08 88866
crispy-doom-7.0-r0.apk 25-Oct-2024 19:08 1878473
crispy-doom-doc-7.0-r0.apk 25-Oct-2024 19:08 109392
critcl-3.3.1-r0.apk 25-Oct-2024 19:08 445375
critcl-doc-3.3.1-r0.apk 25-Oct-2024 19:08 806199
crossplane-0.5.8-r3.apk 25-Oct-2024 19:08 30930
crossplane-pyc-0.5.8-r3.apk 25-Oct-2024 19:08 40190
crosstool-ng-1.27.0-r0.apk 06-Feb-2025 04:44 2161649
crosstool-ng-bash-completion-1.27.0-r0.apk 06-Feb-2025 04:44 2164
crosstool-ng-doc-1.27.0-r0.apk 06-Feb-2025 04:44 33974
crowdsec-1.6.5-r0.apk 17-Feb-2025 15:07 33471786
crowdsec-email-plugin-1.6.5-r0.apk 17-Feb-2025 15:07 8142601
crowdsec-http-plugin-1.6.5-r0.apk 17-Feb-2025 15:07 8101814
crowdsec-openrc-1.6.5-r0.apk 17-Feb-2025 15:07 1784
crowdsec-sentinel-plugin-1.6.5-r0.apk 17-Feb-2025 15:07 8100627
crowdsec-slack-plugin-1.6.5-r0.apk 17-Feb-2025 15:07 8135128
crowdsec-splunk-plugin-1.6.5-r0.apk 17-Feb-2025 15:07 8101822
crun-vm-0.3.0-r0.apk 12-Nov-2024 11:41 1052637
crun-vm-doc-0.3.0-r0.apk 12-Nov-2024 11:41 13200
cscope-15.9-r1.apk 25-Oct-2024 19:08 156519
cscope-doc-15.9-r1.apk 25-Oct-2024 19:08 7670
csfml-2.5.2-r0.apk 25-Oct-2024 19:08 98027
csfml-dev-2.5.2-r0.apk 25-Oct-2024 19:08 79052
csfml-doc-2.5.2-r0.apk 25-Oct-2024 19:08 208902
csmith-2.3.0-r2.apk 25-Oct-2024 19:08 316388
csmith-doc-2.3.0-r2.apk 25-Oct-2024 19:08 3147
csol-1.6.0-r0.apk 25-Oct-2024 19:08 38442
csol-doc-1.6.0-r0.apk 25-Oct-2024 19:08 3941
ctorrent-dnh-3.3.2-r2.apk 25-Oct-2024 19:08 87615
cups-pdf-3.0.1-r2.apk 25-Oct-2024 19:08 22346
cura-5.2.2-r1.apk 25-Oct-2024 19:08 44146929
cura-lang-5.2.2-r1.apk 25-Oct-2024 19:08 4278750
curlftpfs-0.9.2-r3.apk 25-Oct-2024 19:08 24260
curlftpfs-doc-0.9.2-r3.apk 25-Oct-2024 19:08 6260
curtail-1.11.1-r0.apk 25-Oct-2024 19:08 27382
curtail-lang-1.11.1-r0.apk 25-Oct-2024 19:08 67462
cutechess-1.3.1-r0.apk 25-Oct-2024 19:08 1130681
cutechess-cli-1.3.1-r0.apk 25-Oct-2024 19:08 353851
cutechess-cli-doc-1.3.1-r0.apk 25-Oct-2024 19:08 6737
cutechess-doc-1.3.1-r0.apk 25-Oct-2024 19:08 3656
cvise-2.8.0-r2.apk 25-Oct-2024 19:08 5502334
cvise-pyc-2.8.0-r2.apk 25-Oct-2024 19:08 64898
cvs-fast-export-1.65-r0.apk 25-Oct-2024 19:08 49246
cvs-fast-export-doc-1.65-r0.apk 25-Oct-2024 19:08 17867
cvs-fast-export-tools-1.65-r0.apk 25-Oct-2024 19:08 8872
cyrus-sasl-xoauth2-0.2-r1.apk 25-Oct-2024 19:08 7082
cyrus-sasl-xoauth2-doc-0.2-r1.apk 25-Oct-2024 19:08 2328
cyrus-sasl-xoauth2-static-0.2-r1.apk 25-Oct-2024 19:08 7090
cz-viator-hourglass-black-20210706-r0.apk 25-Oct-2024 19:08 224303
daemontools-0.76-r3.apk 25-Oct-2024 19:08 108571
daemontools-openrc-0.76-r3.apk 25-Oct-2024 19:08 2007
daktilo-0.6.0-r0.apk 25-Oct-2024 19:08 1828731
daktilo-bash-completion-0.6.0-r0.apk 25-Oct-2024 19:08 2214
daktilo-doc-0.6.0-r0.apk 25-Oct-2024 19:08 8873
daktilo-fish-completion-0.6.0-r0.apk 25-Oct-2024 19:08 1986
daktilo-zsh-completion-0.6.0-r0.apk 25-Oct-2024 19:08 2319
dart-3.7.0-r0.apk 23-Feb-2025 23:37 58625403
dart-sass-1.85.1-r0.apk 25-Feb-2025 12:32 1497868
dart-sdk-3.7.0-r0.apk 23-Feb-2025 23:37 145303956
dart-stage0-3.7.0_alpha232_p0-r0.apk 23-Feb-2025 23:37 203832516
dartaotruntime-3.7.0-r0.apk 23-Feb-2025 23:37 1467530
darts-clone-0_git20181117-r0.apk 25-Oct-2024 19:08 37616
darts-clone-dev-0_git20181117-r0.apk 25-Oct-2024 19:08 13119
dasht-2.4.0-r0.apk 25-Oct-2024 19:08 14579
dasht-doc-2.4.0-r0.apk 25-Oct-2024 19:08 11676
dasht-zsh-completion-2.4.0-r0.apk 25-Oct-2024 19:08 2137
dbmate-2.16.0-r2.apk 12-Feb-2025 17:33 10027134
dbmate-doc-2.16.0-r2.apk 12-Feb-2025 17:33 2250
dbus-broker-36-r0.apk 25-Oct-2024 19:08 85397
dbus-broker-doc-36-r0.apk 25-Oct-2024 19:08 5983
dbus-waiter-0.2.0-r0.apk 25-Oct-2024 19:08 660193
dcmtk-3.6.9-r0.apk 26-Jan-2025 06:27 1345957
dcmtk-dev-3.6.9-r0.apk 26-Jan-2025 06:27 1697572
dcmtk-doc-3.6.9-r0.apk 26-Jan-2025 06:27 263593
dcmtk-openrc-3.6.9-r0.apk 26-Jan-2025 06:27 1724
dcnnt-0.10.0-r1.apk 25-Oct-2024 19:08 28449
dcnnt-doc-0.10.0-r1.apk 25-Oct-2024 19:08 6749
dcnnt-pyc-0.10.0-r1.apk 25-Oct-2024 19:08 63151
ddcci-driver-linux-src-0.4.5-r1.apk 09-Jan-2025 11:45 19314
ddgr-2.2-r0.apk 25-Oct-2024 19:08 20577
ddgr-bash-completion-2.2-r0.apk 25-Oct-2024 19:08 2277
ddgr-doc-2.2-r0.apk 25-Oct-2024 19:08 11823
ddgr-fish-completion-2.2-r0.apk 25-Oct-2024 19:08 2356
ddgr-zsh-completion-2.2-r0.apk 25-Oct-2024 19:08 2758
ddnrs-0.3.0-r0.apk 25-Oct-2024 19:08 940982
ddnrs-openrc-0.3.0-r0.apk 25-Oct-2024 19:08 2039
ddserver-0_git20200930-r1.apk 25-Oct-2024 19:08 12450
deadbeef-soxr-20180801-r0.apk 25-Oct-2024 19:08 6018
debconf-1.5.82-r0.apk 25-Oct-2024 19:08 71067
debconf-bash-completion-1.5.82-r0.apk 25-Oct-2024 19:08 1899
debconf-doc-1.5.82-r0.apk 25-Oct-2024 19:08 27444
debconf-lang-1.5.82-r0.apk 25-Oct-2024 19:08 135604
debconf-utils-1.5.82-r0.apk 25-Oct-2024 19:08 6821
deblob-0.9-r0.apk 01-Dec-2024 17:03 139794
deblob-doc-0.9-r0.apk 01-Dec-2024 17:03 3834
decoder-0.6.1-r0.apk 25-Oct-2024 19:08 1970172
decoder-lang-0.6.1-r0.apk 25-Oct-2024 19:08 60784
dehydrated-0.7.1-r0.apk 25-Oct-2024 19:08 27070
desed-1.2.1-r1.apk 25-Oct-2024 19:08 377620
desed-doc-1.2.1-r1.apk 25-Oct-2024 19:08 2948
desync-0.9.6-r2.apk 12-Feb-2025 17:33 7428301
detox-2.0.0-r0.apk 25-Oct-2024 19:08 117990
detox-doc-2.0.0-r0.apk 25-Oct-2024 19:08 21232
devil-1.8.0-r0.apk 25-Oct-2024 19:08 247289
devil-dev-1.8.0-r0.apk 25-Oct-2024 19:08 13206
devpod-0.5.21-r0.apk 28-Feb-2025 14:05 21513669
dewduct-0.2.3-r0.apk 25-Oct-2024 19:08 1156935
dfl-applications-0.2.0-r0.apk 25-Oct-2024 19:08 35496
dfl-applications-dev-0.2.0-r0.apk 25-Oct-2024 19:08 4226
dfl-ipc-0.2.0-r0.apk 25-Oct-2024 19:08 21355
dfl-ipc-dev-0.2.0-r0.apk 25-Oct-2024 19:08 3699
dfl-login1-0.2.0-r0.apk 25-Oct-2024 19:08 17283
dfl-login1-dev-0.2.0-r0.apk 25-Oct-2024 19:08 3545
dfl-sni-0.2.0-r0.apk 25-Oct-2024 19:08 32486
dfl-sni-dev-0.2.0-r0.apk 25-Oct-2024 19:08 4226
dfu-programmer-1.1.0-r0.apk 25-Oct-2024 19:08 36675
dfu-programmer-bash-completion-1.1.0-r0.apk 25-Oct-2024 19:08 2851
dfu-programmer-doc-1.1.0-r0.apk 25-Oct-2024 19:08 5900
dhewm3-1.5.4-r0.apk 17-Feb-2025 15:07 5214686
diceware-1.0.1-r0.apk 13-Jan-2025 22:49 342042
diceware-pyc-1.0.1-r0.apk 13-Jan-2025 22:49 18490
ding-libs-0.6.2-r4.apk 25-Oct-2024 19:08 79123
ding-libs-dev-0.6.2-r4.apk 25-Oct-2024 19:08 69605
disfetch-3.7-r0.apk 25-Oct-2024 19:08 8490
diskonaut-0.11.0-r3.apk 25-Oct-2024 19:08 429162
diskus-0.7.0-r2.apk 25-Oct-2024 19:08 314192
dislocker-0.7.3-r5.apk 25-Oct-2024 19:08 17427
dislocker-doc-0.7.3-r5.apk 25-Oct-2024 19:08 6213
dislocker-libs-0.7.3-r5.apk 25-Oct-2024 19:08 46177
dissent-0.0.32-r2.apk 23-Feb-2025 22:30 15487053
dive-0.12.0-r2.apk 12-Feb-2025 17:33 3997044
dived-1.9.0-r0.apk 25-Oct-2024 19:08 24225
dived-doc-1.9.0-r0.apk 25-Oct-2024 19:08 11010
dlib-19.24.4-r0.apk 25-Oct-2024 19:08 763029
dlib-dev-19.24.4-r0.apk 25-Oct-2024 19:08 2546836
dmarc-cat-0.15.0-r2.apk 12-Feb-2025 17:33 2480456
dmarc-metrics-exporter-1.2.0-r0.apk 29-Nov-2024 22:02 25881
dmarc-metrics-exporter-openrc-1.2.0-r0.apk 29-Nov-2024 22:02 1896
dmarc-metrics-exporter-pyc-1.2.0-r0.apk 29-Nov-2024 22:02 47577
dnote-0.15.1-r2.apk 12-Feb-2025 17:33 4262549
dnote-bash-completion-0.15.1-r2.apk 12-Feb-2025 17:33 2086
dnote-doc-0.15.1-r2.apk 12-Feb-2025 17:33 14864
dnote-zsh-completion-0.15.1-r2.apk 12-Feb-2025 17:33 2010
dnscontrol-4.16.0-r1.apk 12-Feb-2025 17:33 14627247
dnscontrol-doc-4.16.0-r1.apk 12-Feb-2025 17:33 2279
dnscrypt-wrapper-0.4.2-r3.apk 25-Oct-2024 19:08 30620
dnsenum-1.3.2-r0.apk 25-Oct-2024 19:08 21737
dnsenum-doc-1.3.2-r0.apk 25-Oct-2024 19:08 5366
dnsperf-2.14.0-r0.apk 25-Oct-2024 19:08 73426
dnsperf-doc-2.14.0-r0.apk 25-Oct-2024 19:08 35778
dnssec-tools-2.2.3-r12.apk 25-Oct-2024 19:08 789110
dnssec-tools-dev-2.2.3-r12.apk 25-Oct-2024 19:08 194497
dnssec-tools-doc-2.2.3-r12.apk 25-Oct-2024 19:08 322983
doasedit-1.0.7-r0.apk 25-Oct-2024 19:08 3667
docker-auth-1.13.0-r1.apk 12-Feb-2025 17:33 9304702
docker-auth-doc-1.13.0-r1.apk 12-Feb-2025 17:33 10608
docker-auth-openrc-1.13.0-r1.apk 12-Feb-2025 17:33 2049
docker-volume-local-persist-1.3.0-r30.apk 12-Feb-2025 17:33 2370560
docker-volume-local-persist-openrc-1.3.0-r30.apk 12-Feb-2025 17:33 1767
dockerize-0.9.0-r2.apk 12-Feb-2025 17:33 3082496
dodo-0_git20241007-r0.apk 25-Oct-2024 19:08 190161
dodo-pyc-0_git20241007-r0.apk 25-Oct-2024 19:08 87584
dolt-1.50.0-r0.apk 28-Feb-2025 10:00 35130959
dooit-3.1.0-r0.apk 07-Dec-2024 20:23 45699
dooit-extras-0.2.0-r0.apk 07-Dec-2024 20:23 13043
dooit-extras-pyc-0.2.0-r0.apk 07-Dec-2024 20:23 23340
dooit-pyc-3.1.0-r0.apk 07-Dec-2024 20:23 102060
dotenv-linter-3.3.0-r1.apk 25-Oct-2024 19:08 967111
dotnet-bash-completion-6.0.136-r1.apk 25-Nov-2024 04:51 1839
dotnet-doc-6.0.136-r1.apk 25-Nov-2024 04:51 113156
dotnet-host-6.0.36-r1.apk 25-Nov-2024 04:51 35102
dotnet-zsh-completion-6.0.136-r1.apk 25-Nov-2024 04:51 1704
dotnet6-apphost-pack-6.0.36-r1.apk 25-Nov-2024 04:51 4577673
dotnet6-artifacts-6.0.136-r1.apk 25-Nov-2024 04:51 462432035
dotnet6-build-6.0.136-r1.apk 25-Nov-2024 04:52 1267645753
dotnet6-hostfxr-6.0.36-r1.apk 25-Nov-2024 04:52 152939
dotnet6-runtime-6.0.36-r1.apk 25-Nov-2024 04:52 27606174
dotnet6-sdk-6.0.136-r1.apk 25-Nov-2024 04:52 105161325
dotnet6-stage0-6.0.116-r4.apk 25-Oct-2024 19:09 1236
dotnet6-stage0-artifacts-6.0.116-r4.apk 25-Oct-2024 19:09 1025454071
dotnet6-stage0-bootstrap-6.0.116-r4.apk 25-Oct-2024 19:09 418762417
dotnet6-targeting-pack-6.0.36-r1.apk 25-Nov-2024 04:52 3263495
dotnet6-templates-6.0.136-r1.apk 25-Nov-2024 04:52 6111045
downloader-cli-0.3.4-r1.apk 25-Oct-2024 19:09 2065
draco-1.5.7-r2.apk 17-Feb-2025 15:07 825212
draco-dev-1.5.7-r2.apk 17-Feb-2025 15:07 209622
draco-static-1.5.7-r2.apk 17-Feb-2025 15:07 1537076
draco-tools-1.5.7-r2.apk 17-Feb-2025 15:07 1236274
draw-0.1.1-r10.apk 12-Feb-2025 17:33 955683
drawing-1.0.2-r0.apk 25-Oct-2024 19:09 3329472
drawing-lang-1.0.2-r0.apk 25-Oct-2024 19:09 278500
drawpile-2.2.1-r1.apk 25-Oct-2024 19:09 1466
drawpile-base-2.2.1-r1.apk 25-Oct-2024 19:09 2440344
drawpile-client-2.2.1-r1.apk 25-Oct-2024 19:09 3175293
drawpile-doc-2.2.1-r1.apk 25-Oct-2024 19:09 73886
drawpile-server-2.2.1-r1.apk 25-Oct-2024 19:09 1554425
drawpile-server-openrc-2.2.1-r1.apk 25-Oct-2024 19:09 1810
drawpile-tools-2.2.1-r1.apk 25-Oct-2024 19:09 3434941
drogon-1.9.4-r1.apk 25-Oct-2024 19:09 1463709
drogon-dev-1.9.4-r1.apk 25-Oct-2024 19:09 127593
drogon-doc-1.9.4-r1.apk 25-Oct-2024 19:09 2309
droidcam-2.1.3-r1.apk 08-Nov-2024 21:25 19256
droidcam-gui-2.1.3-r1.apk 08-Nov-2024 21:25 33832
drone-cli-1.8.0-r7.apk 12-Feb-2025 17:33 5664807
dropwatch-1.5.4-r7.apk 12-Feb-2025 17:33 17553
dropwatch-doc-1.5.4-r7.apk 12-Feb-2025 17:33 3728
drumgizmo-0.9.20-r1.apk 25-Oct-2024 19:09 404455
drupal7-7.103-r0.apk 04-Dec-2024 17:28 3443842
drupal7-doc-7.103-r0.apk 04-Dec-2024 17:28 58842
dsnet-0.7.3-r8.apk 12-Feb-2025 17:33 3754872
dsnet-doc-0.7.3-r8.apk 12-Feb-2025 17:33 9361
dsp-1.9-r2.apk 25-Oct-2024 19:09 100370
dsp-doc-1.9-r2.apk 25-Oct-2024 19:09 7324
dstask-0.26-r11.apk 12-Feb-2025 17:33 1365546
dstask-bash-completion-0.26-r11.apk 12-Feb-2025 17:33 2102
dstask-import-0.26-r11.apk 12-Feb-2025 17:33 3239391
dstask-zsh-completion-0.26-r11.apk 12-Feb-2025 17:33 1642
dublin-traceroute-0.4.2-r4.apk 25-Oct-2024 19:09 46781
dublin-traceroute-contrib-0.4.2-r4.apk 25-Oct-2024 19:09 2934
dublin-traceroute-dev-0.4.2-r4.apk 25-Oct-2024 19:09 7060
dublin-traceroute-doc-0.4.2-r4.apk 25-Oct-2024 19:09 2358
duc-1.4.5-r0.apk 25-Oct-2024 19:09 89864
duc-doc-1.4.5-r0.apk 25-Oct-2024 19:09 9302
duf-0.8.1-r23.apk 12-Feb-2025 17:33 1028257
dufs-0.43.0-r0.apk 26-Jan-2025 06:27 1538849
dufs-bash-completion-0.43.0-r0.apk 26-Jan-2025 06:27 2319
dufs-doc-0.43.0-r0.apk 26-Jan-2025 06:27 10701
dufs-fish-completion-0.43.0-r0.apk 26-Jan-2025 06:27 2407
dufs-zsh-completion-0.43.0-r0.apk 26-Jan-2025 06:27 2719
dulcepan-1.0.2-r0.apk 25-Oct-2024 19:09 20916
dum-0.1.19-r1.apk 25-Oct-2024 19:09 222344
dumb_runtime_dir-1.0.4-r3.apk 25-Oct-2024 19:09 3957
dune-deps-1.3.0-r2.apk 25-Oct-2024 19:09 1048265
dustracing2d-2.1.1-r1.apk 25-Oct-2024 19:09 5370919
dvdbackup-0.4.2-r1.apk 25-Oct-2024 19:09 16310
dvdbackup-doc-0.4.2-r1.apk 25-Oct-2024 19:09 7758
dvdbackup-lang-0.4.2-r1.apk 25-Oct-2024 19:09 1459
dwl-0.7-r0.apk 25-Oct-2024 19:09 28130
dwl-doc-0.7-r0.apk 25-Oct-2024 19:09 3199
e16-1.0.30-r0.apk 05-Nov-2024 13:22 805697
e16-doc-1.0.30-r0.apk 05-Nov-2024 13:22 27904
e16-lang-1.0.30-r0.apk 05-Nov-2024 13:22 388737
eatmemory-0.1.6-r2.apk 25-Oct-2024 19:09 4465
eboard-1.1.3-r1.apk 25-Oct-2024 19:09 1479821
eboard-doc-1.1.3-r1.apk 25-Oct-2024 19:09 4769
ecasound-2.9.3-r4.apk 24-Feb-2025 21:33 694927
ecasound-dev-2.9.3-r4.apk 24-Feb-2025 21:33 1200195
ecasound-doc-2.9.3-r4.apk 24-Feb-2025 21:33 39373
eccodes-2.38.3-r0.apk 17-Feb-2025 15:07 11476500
eclib-20250122-r0.apk 26-Jan-2025 06:27 349286
eclib-dev-20250122-r0.apk 26-Jan-2025 06:27 96224
eclib-doc-20250122-r0.apk 26-Jan-2025 06:27 28561
eclib-libs-20250122-r0.apk 26-Jan-2025 06:27 1163999
eclib-static-20250122-r0.apk 26-Jan-2025 06:27 20906058
eclipse-ecj-4.34-r0.apk 26-Jan-2025 06:27 2654252
ecm-7.0.5-r1.apk 25-Oct-2024 19:09 129825
ecm-dev-7.0.5-r1.apk 25-Oct-2024 19:09 4983
ecm-doc-7.0.5-r1.apk 25-Oct-2024 19:09 7362
ecos-2.0.10-r0.apk 25-Oct-2024 19:09 38608
ecos-dev-2.0.10-r0.apk 25-Oct-2024 19:09 28590
edam-1.0.2-r0.apk 07-Jan-2025 15:32 38830
edam-doc-1.0.2-r0.apk 07-Jan-2025 15:32 8455
edward-1.1.0-r0.apk 25-Oct-2024 19:09 1954952
edward-doc-1.1.0-r0.apk 25-Oct-2024 19:09 5400
efibootguard-0.16-r0.apk 25-Oct-2024 19:09 95621
efibootguard-bash-completion-0.16-r0.apk 25-Oct-2024 19:09 3692
efibootguard-dev-0.16-r0.apk 25-Oct-2024 19:09 23150
efibootguard-zsh-completion-0.16-r0.apk 25-Oct-2024 19:09 2987
efl-1.27.0-r1.apk 25-Oct-2024 19:09 35604929
efl-dev-1.27.0-r1.apk 25-Oct-2024 19:09 1959293
efl-gdb-1.27.0-r1.apk 25-Oct-2024 19:09 1811
eiwd-2.22-r0.apk 25-Oct-2024 19:09 808226
eiwd-doc-2.22-r0.apk 25-Oct-2024 19:09 20289
eiwd-openrc-2.22-r0.apk 25-Oct-2024 19:09 1875
elastic-beats-8.14.2-r2.apk 12-Feb-2025 17:33 1199
electron-33.4.0-r1.apk 25-Feb-2025 14:18 89245676
electron-dev-33.4.0-r1.apk 25-Feb-2025 14:18 337937
electron-lang-33.4.0-r1.apk 25-Feb-2025 14:18 10480390
electron-tasje-0.7.3-r0.apk 25-Oct-2024 19:09 1229724
element-desktop-1.11.93-r0.apk 25-Feb-2025 22:31 23095648
elementary-calculator-8.0.0-r0.apk 28-Oct-2024 22:05 72450
elementary-calculator-lang-8.0.0-r0.apk 28-Oct-2024 22:05 58675
elementary-camera-8.0.0-r0.apk 27-Oct-2024 14:20 87452
elementary-camera-lang-8.0.0-r0.apk 27-Oct-2024 14:20 34394
elementary-feedback-8.0.0-r0.apk 27-Oct-2024 14:17 44777
elementary-feedback-lang-8.0.0-r0.apk 27-Oct-2024 14:17 44513
elementary-icon-theme-8.0.0-r0.apk 25-Oct-2024 19:09 5292697
elementary-music-8.0.0-r0.apk 28-Oct-2024 22:05 75929
elementary-music-lang-8.0.0-r0.apk 28-Oct-2024 22:05 48627
elementary-photos-8.0.1-r0.apk 04-Dec-2024 11:57 1177924
elementary-photos-lang-8.0.1-r0.apk 04-Dec-2024 11:57 1052063
elementary-sound-theme-1.1.0-r0.apk 10-Nov-2024 22:07 85066
elementary-theme-8.1.0-r0.apk 12-Jan-2025 20:47 1571156
elementary-videos-8.0.1-r0.apk 10-Nov-2024 22:06 113211
elementary-videos-lang-8.0.1-r0.apk 10-Nov-2024 22:06 87521
elf_diff-0.7.1-r3.apk 25-Oct-2024 19:09 110876
elf_diff-pyc-0.7.1-r3.apk 25-Oct-2024 19:09 110555
elfio-3.12-r0.apk 25-Oct-2024 19:09 1454
elfio-dev-3.12-r0.apk 25-Oct-2024 19:09 56320
eludris-0.3.3-r1.apk 25-Oct-2024 19:09 1872793
eludris-doc-0.3.3-r1.apk 25-Oct-2024 19:09 2340
emacs-ace-window-0.10.0_git20220911-r0.apk 25-Oct-2024 19:09 23323
emacs-avy-0.5.0_git20230420-r0.apk 25-Oct-2024 19:09 44484
emacs-avy-embark-collect-1.0_git20240327-r0.apk 25-Oct-2024 19:09 3960
emacs-centaur-tabs-3.2_git20230601-r0.apk 25-Oct-2024 19:09 56394
emacs-closql-1.2.1_git20240712-r0.apk 25-Oct-2024 19:09 14714
emacs-consult-1.4_git20240405-r0.apk 25-Oct-2024 19:09 141065
emacs-derl-0_git20231004-r0.apk 25-Oct-2024 19:09 24045
emacs-elfeed-3.4.1_git20240326-r0.apk 25-Oct-2024 19:09 93521
emacs-emacsql-3.1.1_git20240714-r0.apk 25-Oct-2024 19:09 23144
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk 25-Oct-2024 19:09 6208
emacs-emacsql-psql-3.1.1_git20240714-r0.apk 25-Oct-2024 19:09 5992
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk 25-Oct-2024 19:09 18374
emacs-emacsql-sqlite-module-3.1.1_git20240714-r..> 25-Oct-2024 19:09 4394
emacs-embark-1.0_git20240327-r0.apk 25-Oct-2024 19:09 112329
emacs-embark-consult-1.0_git20240327-r0.apk 25-Oct-2024 19:09 10701
emacs-ement-0.15.1-r0.apk 25-Oct-2024 19:09 294173
emacs-epkg-3.3.3_git20240713-r0.apk 25-Oct-2024 19:09 37703
emacs-fossil-0_git20230504-r0.apk 25-Oct-2024 19:09 14979
emacs-gnosis-0.3.2-r0.apk 25-Oct-2024 19:09 63636
emacs-hackernews-0.7.0-r0.apk 25-Oct-2024 19:09 15897
emacs-helm-3.9.7_git20240329-r0.apk 25-Oct-2024 19:09 834736
emacs-hnreader-0_git20221116-r0.apk 25-Oct-2024 19:09 10011
emacs-hydra-0.15.0_git20220910-r0.apk 25-Oct-2024 19:09 47063
emacs-llama-0.3.1_git20240722-r0.apk 25-Oct-2024 19:09 10166
emacs-persist-0.6_git20240114-r0.apk 25-Oct-2024 19:09 6789
emacs-powerline-2.4_git20221110-r0.apk 25-Oct-2024 19:09 29614
emacs-sqlite3-api-0.18-r0.apk 25-Oct-2024 19:09 18541
emacs-svg-lib-0_git20240219-r0.apk 25-Oct-2024 19:09 19449
emacs-taxy-0.10.1-r0.apk 25-Oct-2024 19:09 11736
emacs-taxy-magit-section-0.13-r0.apk 25-Oct-2024 19:09 17732
empede-0.2.3-r0.apk 25-Oct-2024 19:09 1717473
empede-doc-0.2.3-r0.apk 25-Oct-2024 19:09 2343
empede-openrc-0.2.3-r0.apk 25-Oct-2024 19:09 1965
emulationstation-2.11.2-r1.apk 25-Oct-2024 19:09 1270689
emulationstation-theme-gbz35-2.11.2-r1.apk 25-Oct-2024 19:09 3413269
endeavour-43.0-r2.apk 08-Dec-2024 21:43 193938
endeavour-dev-43.0-r2.apk 08-Dec-2024 21:43 46680
endeavour-doc-43.0-r2.apk 08-Dec-2024 21:43 69704
endeavour-lang-43.0-r2.apk 08-Dec-2024 21:43 208015
endless-sky-0.10.2-r0.apk 25-Oct-2024 19:09 253927413
endless-sky-doc-0.10.2-r0.apk 25-Oct-2024 19:09 37389
endlessh-1.1-r0.apk 25-Oct-2024 19:09 8951
endlessh-doc-1.1-r0.apk 25-Oct-2024 19:09 2454
enjoy-0.3-r1.apk 25-Oct-2024 19:09 12406
enlighten-0.9.2-r1.apk 25-Oct-2024 19:09 7301
enlighten-doc-0.9.2-r1.apk 25-Oct-2024 19:09 3592
envconsul-0.13.3-r0.apk 13-Feb-2025 07:27 4687900
envsubst-0.1-r1.apk 25-Oct-2024 19:09 4684
epoch-1.3.0-r2.apk 25-Oct-2024 19:09 53502
epr-2.4.15-r1.apk 25-Oct-2024 19:09 16077
epr-pyc-2.4.15-r1.apk 25-Oct-2024 19:09 25004
ergo-ldap-0.0.1-r14.apk 12-Feb-2025 17:33 2036930
ergo-ldap-doc-0.0.1-r14.apk 12-Feb-2025 17:33 2272
errands-46.2.7-r0.apk 01-Feb-2025 16:09 86844
errands-lang-46.2.7-r0.apk 01-Feb-2025 16:09 72851
espeakup-0.90-r2.apk 25-Oct-2024 19:09 12139
espeakup-openrc-0.90-r2.apk 25-Oct-2024 19:09 1857
esptool-4.8.1-r0.apk 25-Oct-2024 19:09 434186
esptool-pyc-4.8.1-r0.apk 25-Oct-2024 19:09 562522
ettercap-0.8.3.1-r3.apk 25-Oct-2024 19:09 624657
ettercap-doc-0.8.3.1-r3.apk 25-Oct-2024 19:09 46207
eva-0.3.1-r2.apk 25-Oct-2024 19:09 630082
evolution-on-3.24.4-r0.apk 30-Oct-2024 14:24 11208
eww-0.4.0-r1.apk 25-Oct-2024 19:09 1554468
eww-dbg-0.4.0-r1.apk 25-Oct-2024 19:09 763984
exabgp-4.2.22-r0.apk 30-Nov-2024 10:47 447928
exabgp-doc-4.2.22-r0.apk 30-Nov-2024 10:47 8236
exabgp-openrc-4.2.22-r0.apk 30-Nov-2024 10:47 2248
exabgp-pyc-4.2.22-r0.apk 30-Nov-2024 10:47 913085
exercism-3.2.0-r9.apk 12-Feb-2025 17:33 3918537
exercism-bash-completion-3.2.0-r9.apk 12-Feb-2025 17:33 1982
exercism-fish-completion-3.2.0-r9.apk 12-Feb-2025 17:33 2390
exercism-zsh-completion-3.2.0-r9.apk 12-Feb-2025 17:33 2133
extrace-0.9-r0.apk 25-Oct-2024 19:09 11711
extrace-doc-0.9-r0.apk 25-Oct-2024 19:09 3601
extremetuxracer-0.8.3-r0.apk 25-Oct-2024 19:09 41449246
extremetuxracer-doc-0.8.3-r0.apk 25-Oct-2024 19:09 6865
extundelete-0.2.4-r1.apk 25-Oct-2024 19:09 39474
f_scripts-0.6-r1.apk 25-Oct-2024 19:09 1483
f_scripts-f_audio-0.6-r1.apk 25-Oct-2024 19:09 3417
f_scripts-f_files-0.6-r1.apk 25-Oct-2024 19:09 2994
f_scripts-f_game-0.6-r1.apk 25-Oct-2024 19:09 1947
f_scripts-f_maps-0.6-r1.apk 25-Oct-2024 19:09 2167
f_scripts-f_networks-0.6-r1.apk 25-Oct-2024 19:09 3076
f_scripts-f_phone-0.6-r1.apk 25-Oct-2024 19:09 6139
f_scripts-f_rss-0.6-r1.apk 25-Oct-2024 19:09 2592
f_scripts-f_theme-0.6-r1.apk 25-Oct-2024 19:09 2623
f_scripts-f_timer-0.6-r1.apk 25-Oct-2024 19:09 2438
f_scripts-f_web-0.6-r1.apk 25-Oct-2024 19:09 2966
f_scripts-f_youtube-0.6-r1.apk 25-Oct-2024 19:09 2870
fabric-3.2.2-r1.apk 25-Oct-2024 19:09 55947
fabric-pyc-3.2.2-r1.apk 25-Oct-2024 19:09 61591
fakeroot-tcp-1.32.1-r1.apk 25-Oct-2024 19:09 30363
fast_float-5.2.0-r1.apk 25-Oct-2024 19:09 43820
fastd-23-r0.apk 27-Jan-2025 21:33 72804
fastd-doc-23-r0.apk 27-Jan-2025 21:33 3357
fastd-openrc-23-r0.apk 27-Jan-2025 21:33 1738
fatback-1.3-r2.apk 25-Oct-2024 19:09 28790
fatback-doc-1.3-r2.apk 25-Oct-2024 19:09 16468
fathom-1.3.1-r10.apk 12-Feb-2025 17:33 4616691
fatrace-0.17.0-r0.apk 25-Oct-2024 19:09 10449
fatrace-doc-0.17.0-r0.apk 25-Oct-2024 19:09 3358
fatresize-1.1.0-r1.apk 25-Oct-2024 19:09 8876
fatresize-doc-1.1.0-r1.apk 25-Oct-2024 19:09 15561
faultstat-0.01.11-r0.apk 25-Oct-2024 19:09 13124
faultstat-bash-completion-0.01.11-r0.apk 25-Oct-2024 19:09 2351
faultstat-doc-0.01.11-r0.apk 25-Oct-2024 19:09 3108
faust-2.60.3-r2.apk 25-Oct-2024 19:09 8430267
faust-dev-2.60.3-r2.apk 25-Oct-2024 19:09 789013
faust-doc-2.60.3-r2.apk 25-Oct-2024 19:09 17500808
faust-static-2.60.3-r2.apk 25-Oct-2024 19:09 551520
faust-tools-2.60.3-r2.apk 25-Oct-2024 19:09 123251
faust-vim-2.60.3-r2.apk 25-Oct-2024 19:09 2683
fava-1.28-r0.apk 25-Oct-2024 19:09 1125069
fava-pyc-1.28-r0.apk 25-Oct-2024 19:09 168040
fbcur-1.0.1-r1.apk 25-Oct-2024 19:09 6818
fbcur-doc-1.0.1-r1.apk 25-Oct-2024 19:09 2217
fbp-0.5-r1.apk 25-Oct-2024 19:09 131513
fbvnc-0_git20220812-r0.apk 25-Oct-2024 19:09 10033
fceux-2.6.6-r2.apk 25-Oct-2024 19:09 3014932
fceux-doc-2.6.6-r2.apk 25-Oct-2024 19:09 108369
fdm-materials-5.2.2-r1.apk 25-Oct-2024 19:09 61099
featherpad-1.5.1-r0.apk 25-Oct-2024 19:09 696210
featherpad-lang-1.5.1-r0.apk 25-Oct-2024 19:09 473863
felix-2.16.0-r0.apk 05-Feb-2025 22:09 602335
ff2mpv-rust-1.1.5-r0.apk 04-Jan-2025 22:29 200344
ff2mpv-rust-doc-1.1.5-r0.apk 04-Jan-2025 22:29 13833
fff-2.2-r0.apk 25-Oct-2024 19:09 10990
fff-doc-2.2-r0.apk 25-Oct-2024 19:09 9201
fflas-ffpack-2.5.0-r3.apk 25-Oct-2024 19:09 353641
ffms2-5.0-r0.apk 25-Oct-2024 19:09 74269
ffms2-dev-5.0-r0.apk 25-Oct-2024 19:09 7791
ffms2-doc-5.0-r0.apk 25-Oct-2024 19:09 31003
ffsend-0.2.76-r4.apk 25-Oct-2024 19:09 1595657
ffsend-bash-completion-0.2.76-r4.apk 25-Oct-2024 19:09 3700
ffsend-fish-completion-0.2.76-r4.apk 25-Oct-2024 19:09 3655
ffsend-zsh-completion-0.2.76-r4.apk 25-Oct-2024 19:09 4676
fheroes2-1.1.6-r0.apk 17-Feb-2025 15:07 1657656
fheroes2-lang-1.1.6-r0.apk 17-Feb-2025 15:07 1764344
fiery-2.0.0-r0.apk 25-Oct-2024 19:09 267731
fiery-lang-2.0.0-r0.apk 25-Oct-2024 19:09 54892
fildesh-0.2.0-r0.apk 25-Oct-2024 19:09 69928
fildesh-doc-0.2.0-r0.apk 25-Oct-2024 19:09 2150
fildesh-vim-0.2.0-r0.apk 25-Oct-2024 19:09 3634
filebeat-8.14.2-r2.apk 12-Feb-2025 17:33 28512740
filebeat-openrc-8.14.2-r2.apk 12-Feb-2025 17:33 1973
filebrowser-2.27.0-r8.apk 12-Feb-2025 17:33 7234071
filebrowser-openrc-2.27.0-r8.apk 12-Feb-2025 17:33 1803
fileshelter-6.2.0-r3.apk 25-Feb-2025 06:37 318487
fileshelter-openrc-6.2.0-r3.apk 25-Feb-2025 06:37 1680
filite-0.3.0-r2.apk 25-Oct-2024 19:09 1162758
findtow-0.1-r0.apk 25-Oct-2024 19:09 4800
finger-0.5-r0.apk 25-Oct-2024 19:09 8764
finger-doc-0.5-r0.apk 25-Oct-2024 19:09 3889
firecracker-1.9.0-r0.apk 25-Oct-2024 19:09 1188190
firecracker-doc-1.9.0-r0.apk 25-Oct-2024 19:09 1632845
firecracker-rebase-snap-1.9.0-r0.apk 25-Oct-2024 19:09 160713
firecracker-seccompiler-1.9.0-r0.apk 25-Oct-2024 19:09 234403
firectl-0.2.0-r16.apk 12-Feb-2025 17:33 3366719
firefox-developer-edition-135.0_beta9-r0.apk 26-Jan-2025 06:27 80647726
firehol-3.1.7-r2.apk 25-Oct-2024 19:09 86787
firehol-doc-3.1.7-r2.apk 25-Oct-2024 19:09 690786
firehol-openrc-3.1.7-r2.apk 25-Oct-2024 19:09 2103
flann-1.9.2-r1.apk 17-Feb-2025 15:07 1666356
flann-dev-1.9.2-r1.apk 17-Feb-2025 15:07 1027367
flann-doc-1.9.2-r1.apk 17-Feb-2025 15:07 2591
flare-engine-1.14-r0.apk 25-Oct-2024 19:09 4647259
flare-engine-doc-1.14-r0.apk 25-Oct-2024 19:09 2525
flare-game-1.14-r0.apk 25-Oct-2024 19:09 2241
flatpak-xdg-utils-1.0.6-r0.apk 25-Oct-2024 19:09 22702
flauschige-uhr-0.1-r1.apk 25-Oct-2024 19:09 4624
flawz-0.3.0-r0.apk 03-Nov-2024 21:06 1188854
flawz-bash-completion-0.3.0-r0.apk 03-Nov-2024 21:06 2166
flawz-doc-0.3.0-r0.apk 03-Nov-2024 21:06 6132
flawz-fish-completion-0.3.0-r0.apk 03-Nov-2024 21:06 1956
flawz-zsh-completion-0.3.0-r0.apk 03-Nov-2024 21:06 2279
flightgear-2020.3.19-r1.apk 25-Oct-2024 19:09 10414999
flightgear-bash-completion-2020.3.19-r1.apk 25-Oct-2024 19:09 4310
flightgear-dbg-2020.3.19-r1.apk 25-Oct-2024 19:09 19431608
flightgear-doc-2020.3.19-r1.apk 25-Oct-2024 19:09 62283
flightgear-zsh-completion-2020.3.19-r1.apk 25-Oct-2024 19:09 5566
flint-2.9.0-r2.apk 25-Oct-2024 19:09 5727894
flint-dev-2.9.0-r2.apk 25-Oct-2024 19:09 318792
flintqs-1.0-r1.apk 25-Oct-2024 19:09 21831
flowd-0.9.1-r10.apk 25-Oct-2024 19:09 80798
flowd-dev-0.9.1-r10.apk 25-Oct-2024 19:09 8500
flowd-doc-0.9.1-r10.apk 25-Oct-2024 19:09 10341
flowd-openrc-0.9.1-r10.apk 25-Oct-2024 19:09 1915
fluent-bit-3.1.10-r1.apk 17-Feb-2025 15:07 5836852
fluent-bit-dev-3.1.10-r1.apk 17-Feb-2025 15:07 117507
fluent-bit-openrc-3.1.10-r1.apk 17-Feb-2025 15:07 1687
flutter-3.29.0-r0.apk 23-Feb-2025 23:37 1230
flutter-common-3.29.0-r0.apk 23-Feb-2025 23:37 41888691
flutter-desktop-3.29.0-r0.apk 23-Feb-2025 23:37 32346357
flutter-developer-3.29.0-r0.apk 23-Feb-2025 23:37 2183736
flutter-glfw-3.29.0-r0.apk 23-Feb-2025 23:37 5088613
flutter-gtk-3.29.0-r0.apk 23-Feb-2025 23:37 5102448
flutter-tool-3.29.0-r0.apk 23-Feb-2025 23:37 15909597
flutter-tool-developer-3.29.0-r0.apk 23-Feb-2025 23:37 1679
fnf-0.1-r0.apk 25-Oct-2024 19:09 17042
fnf-doc-0.1-r0.apk 25-Oct-2024 19:09 4703
foma-0.10.0_git20240712-r0.apk 25-Oct-2024 19:09 329460
foma-dev-0.10.0_git20240712-r0.apk 25-Oct-2024 19:09 8676
font-anonymous-pro-1.002-r2.apk 25-Oct-2024 19:09 270819
font-babelstone-han-15.1.3-r0.apk 25-Oct-2024 19:09 19204368
font-chivo-0_git20221110-r0.apk 25-Oct-2024 19:09 811370
font-chivo-mono-0_git20221110-r0.apk 25-Oct-2024 19:09 640885
font-comic-neue-2.51-r0.apk 25-Oct-2024 19:09 254741
font-comic-neue-doc-2.51-r0.apk 25-Oct-2024 19:09 1028161
font-commit-mono-1.143-r0.apk 25-Oct-2024 19:09 257306
font-cousine-0_git20210228-r0.apk 25-Oct-2024 19:09 112627
font-fantasque-sans-1.8.0-r0.apk 25-Oct-2024 19:09 1224
font-fantasque-sans-doc-1.8.0-r0.apk 25-Oct-2024 19:09 5622
font-fantasque-sans-largelineheight-1.8.0-r0.apk 25-Oct-2024 19:09 323378
font-fantasque-sans-largelineheightnoloopk-1.8...> 25-Oct-2024 19:09 323384
font-fantasque-sans-noloopk-1.8.0-r0.apk 25-Oct-2024 19:09 323365
font-fantasque-sans-normal-1.8.0-r0.apk 25-Oct-2024 19:09 323377
font-fira-4.202-r0.apk 25-Oct-2024 19:09 1237
font-fira-code-6.2-r0.apk 25-Oct-2024 19:09 856070
font-fira-code-vf-6.2-r0.apk 25-Oct-2024 19:09 148542
font-fira-otf-4.202-r0.apk 25-Oct-2024 19:09 7737323
font-fira-ttf-4.202-r0.apk 25-Oct-2024 19:09 6496539
font-firamath-0.3.4-r0.apk 25-Oct-2024 19:09 121167
font-fontawesome-4-4.7.0-r3.apk 25-Oct-2024 19:09 209926
font-hanazono-20170904-r1.apk 25-Oct-2024 19:09 30352547
font-intel-one-mono-1.3.0-r0.apk 25-Oct-2024 19:09 288201
font-katex-0.16.2-r0.apk 25-Oct-2024 19:09 871999
font-material-icons-4.0.0-r0.apk 25-Oct-2024 19:09 667500
font-monaspace-1.101-r0.apk 25-Oct-2024 19:09 1491
font-monaspace-argon-1.101-r0.apk 25-Oct-2024 19:09 2315696
font-monaspace-krypton-1.101-r0.apk 25-Oct-2024 19:09 2169082
font-monaspace-neon-1.101-r0.apk 25-Oct-2024 19:09 2239070
font-monaspace-radon-1.101-r0.apk 25-Oct-2024 19:09 2878220
font-monaspace-xenon-1.101-r0.apk 25-Oct-2024 19:09 2452474
font-monocraft-4.0-r0.apk 25-Oct-2024 19:09 692994
font-openmoji-15.0.0-r0.apk 24-Dec-2024 22:51 3721302
font-siji-20190218_git-r2.apk 25-Oct-2024 19:09 25080
font-stix-otf-2.13-r0.apk 25-Oct-2024 19:09 2139967
font-stix-ttf-2.13-r0.apk 25-Oct-2024 19:09 440379
font-tamzen-1.11.5-r1.apk 25-Oct-2024 19:09 63349
font-teluguvijayam-20190525-r2.apk 25-Oct-2024 19:09 3918436
font-tinos-0_git20210228-r0.apk 25-Oct-2024 19:09 203449
font-tiresias-0_git20200704-r0.apk 25-Oct-2024 19:09 581903
font-tiresias-doc-0_git20200704-r0.apk 25-Oct-2024 19:09 59545
foolsm-1.0.21-r0.apk 25-Oct-2024 19:09 33289
foolsm-doc-1.0.21-r0.apk 25-Oct-2024 19:09 3946
foolsm-openrc-1.0.21-r0.apk 25-Oct-2024 19:09 1589
fox-1.6.57-r0.apk 25-Oct-2024 19:09 1039512
fox-adie-1.6.57-r0.apk 25-Oct-2024 19:09 124274
fox-calculator-1.6.57-r0.apk 25-Oct-2024 19:09 33088
fox-dev-1.6.57-r0.apk 25-Oct-2024 19:09 1775805
fox-doc-1.6.57-r0.apk 25-Oct-2024 19:09 2111952
fox-pathfinder-1.6.57-r0.apk 25-Oct-2024 19:09 51082
fox-shutterbug-1.6.57-r0.apk 25-Oct-2024 19:09 21200
fox-utils-1.6.57-r0.apk 25-Oct-2024 19:09 6644
fpc-3.2.2-r4.apk 25-Oct-2024 19:09 72679096
fpc-doc-3.2.2-r4.apk 25-Oct-2024 19:09 1301573
fpc-stage0-3.2.2-r3.apk 25-Oct-2024 19:09 6956818
fplll-5.5.0-r0.apk 20-Nov-2024 00:45 49376
fplll-dev-5.5.0-r0.apk 20-Nov-2024 00:45 79827
fplll-libs-5.5.0-r0.apk 20-Nov-2024 00:45 5884973
fplll-static-5.5.0-r0.apk 20-Nov-2024 00:45 6757383
fplll-strategies-5.5.0-r0.apk 20-Nov-2024 00:45 1792045
fpp-0.9.5-r0.apk 25-Oct-2024 19:09 29971
fpp-doc-0.9.5-r0.apk 25-Oct-2024 19:09 5724
fq-0.13.0-r2.apk 12-Feb-2025 17:33 4254709
freealut-1.1.0-r1.apk 25-Oct-2024 19:09 18241
freealut-dev-1.1.0-r1.apk 25-Oct-2024 19:09 24089
freediameter-1.5.0-r1.apk 25-Oct-2024 19:09 9257
freediameter-dev-1.5.0-r1.apk 25-Oct-2024 19:09 55075
freediameter-extensions-1.5.0-r1.apk 25-Oct-2024 19:09 366007
freediameter-libfdcore-1.5.0-r1.apk 25-Oct-2024 19:09 152889
freediameter-libfdproto-1.5.0-r1.apk 25-Oct-2024 19:09 82859
freetube-0.23.2-r0.apk 27-Feb-2025 11:42 1663283
frescobaldi-3.3.0-r1.apk 25-Oct-2024 19:09 3645970
frescobaldi-doc-3.3.0-r1.apk 25-Oct-2024 19:09 2539
frescobaldi-pyc-3.3.0-r1.apk 25-Oct-2024 19:09 1253335
freshrss-1.23.1-r1.apk 25-Oct-2024 19:09 1594242
freshrss-doc-1.23.1-r1.apk 25-Oct-2024 19:09 769277
freshrss-lang-1.23.1-r1.apk 25-Oct-2024 19:09 388353
freshrss-mysql-1.23.1-r1.apk 25-Oct-2024 19:09 1489
freshrss-openrc-1.23.1-r1.apk 25-Oct-2024 19:09 2582
freshrss-pgsql-1.23.1-r1.apk 25-Oct-2024 19:09 1493
freshrss-sqlite-1.23.1-r1.apk 25-Oct-2024 19:09 1496
freshrss-themes-1.23.1-r1.apk 25-Oct-2024 19:09 1575994
fulcrum-1.9.8-r1.apk 25-Oct-2024 19:09 922556
fulcrum-admin-1.9.8-r1.apk 25-Oct-2024 19:09 8104
fulcrum-doc-1.9.8-r1.apk 25-Oct-2024 19:09 22132
fungw-1.2.1-r0.apk 30-Dec-2024 09:48 13994
fungw-c-1.2.1-r0.apk 30-Dec-2024 09:48 8605
fungw-cli-1.2.1-r0.apk 30-Dec-2024 09:48 24258
fungw-dev-1.2.1-r0.apk 30-Dec-2024 09:48 7752
fungw-doc-1.2.1-r0.apk 30-Dec-2024 09:48 13201
fungw-duktape-1.2.1-r0.apk 30-Dec-2024 09:48 17953
fungw-fawk-1.2.1-r0.apk 30-Dec-2024 09:48 105854
fungw-lua-1.2.1-r0.apk 30-Dec-2024 09:48 15681
fungw-mujs-1.2.1-r0.apk 30-Dec-2024 09:48 17546
fungw-perl-1.2.1-r0.apk 30-Dec-2024 09:48 49664
fungw-python3-1.2.1-r0.apk 30-Dec-2024 09:48 27808
fungw-tcl-1.2.1-r0.apk 30-Dec-2024 09:48 14250
fusee-nano-0.5.3-r1.apk 25-Oct-2024 19:09 21883
fusee-nano-udev-0.5.3-r1.apk 25-Oct-2024 19:09 1745
fusesoc-2.3-r0.apk 25-Oct-2024 19:09 47416
fusesoc-pyc-2.3-r0.apk 25-Oct-2024 19:09 91405
futhark-0.25.24-r0.apk 06-Dec-2024 22:56 22574236
fuzzylite-6.0-r2.apk 01-Feb-2025 16:09 4616
fuzzylite-dev-6.0-r2.apk 01-Feb-2025 16:09 70073
fuzzylite-doc-6.0-r2.apk 01-Feb-2025 16:09 2138
fuzzylite-libs-6.0-r2.apk 01-Feb-2025 16:09 343469
fwallet-1.2.0-r2.apk 23-Feb-2025 23:37 8504247
fxfloorboard-katana-mk2-20240515-r1.apk 25-Oct-2024 19:09 5738915
fxfloorboard-katana-mk2-doc-20240515-r1.apk 25-Oct-2024 19:09 1138485
fyi-1.0.4-r0.apk 25-Oct-2024 19:09 10146
fyi-bash-completion-1.0.4-r0.apk 25-Oct-2024 19:09 1816
fyi-doc-1.0.4-r0.apk 25-Oct-2024 19:09 7180
fyi-fish-completion-1.0.4-r0.apk 25-Oct-2024 19:09 2234
fzy-1.0-r3.apk 25-Oct-2024 19:09 13510
fzy-doc-1.0-r3.apk 25-Oct-2024 19:09 2789
gambit-4.9.5-r0.apk 25-Oct-2024 19:09 8455028
gambit-dev-4.9.5-r0.apk 25-Oct-2024 19:09 7395511
gambit-doc-4.9.5-r0.apk 25-Oct-2024 19:09 4469
game-devices-udev-0.23-r0.apk 12-Nov-2024 10:58 6314
gamemode-1.8.2-r0.apk 05-Feb-2025 23:30 77322
gamemode-dev-1.8.2-r0.apk 05-Feb-2025 23:30 5228
gamemode-doc-1.8.2-r0.apk 05-Feb-2025 23:30 7724
gamescope-3.16.1-r0.apk 10-Jan-2025 16:19 1237241
gamja-1.0.0_beta9-r0.apk 25-Oct-2024 19:09 613579
gamja-doc-1.0.0_beta9-r0.apk 25-Oct-2024 19:09 2294
gammastep-2.0.9-r3.apk 25-Oct-2024 19:09 91825
gammastep-doc-2.0.9-r3.apk 25-Oct-2024 19:09 14399
gammastep-lang-2.0.9-r3.apk 25-Oct-2024 19:09 79397
gammastep-pyc-2.0.9-r3.apk 25-Oct-2024 19:09 17281
gatling-0.16-r6.apk 25-Oct-2024 19:09 149353
gatling-doc-0.16-r6.apk 25-Oct-2024 19:09 9295
gatling-openrc-0.16-r6.apk 25-Oct-2024 19:09 2850
gaupol-1.12-r2.apk 25-Oct-2024 19:09 282802
gaupol-doc-1.12-r2.apk 25-Oct-2024 19:09 2427
gaupol-lang-1.12-r2.apk 25-Oct-2024 19:09 283325
gaupol-pyc-1.12-r2.apk 25-Oct-2024 19:09 429299
gb-0.4.4-r28.apk 12-Feb-2025 17:33 6692609
gcli-2.6.1-r0.apk 26-Jan-2025 17:28 123351
gcli-doc-2.6.1-r0.apk 26-Jan-2025 17:28 28915
gdb-dashboard-0.17.3-r0.apk 24-Dec-2024 22:53 23211
gdb-dashboard-doc-0.17.3-r0.apk 24-Dec-2024 22:53 3102
gearman-dev-1.1.21-r1.apk 25-Oct-2024 19:09 1120760
gearman-libs-1.1.21-r1.apk 25-Oct-2024 19:09 81993
gearmand-1.1.21-r1.apk 25-Oct-2024 19:09 178360
gearmand-doc-1.1.21-r1.apk 25-Oct-2024 19:09 194271
gearmand-openrc-1.1.21-r1.apk 25-Oct-2024 19:09 1877
gede-2.18.2-r1.apk 25-Oct-2024 19:09 267614
genact-1.4.2-r0.apk 25-Oct-2024 19:09 1401210
geoclue-stumbler-0.2.0-r0.apk 31-Dec-2024 12:56 27329
geodns-3.3.0-r10.apk 12-Feb-2025 17:33 4588878
geodns-logs-3.3.0-r10.apk 12-Feb-2025 17:33 4174255
geodns-openrc-3.3.0-r10.apk 12-Feb-2025 17:33 1759
geomyidae-0.34-r2.apk 25-Oct-2024 19:09 15191
geomyidae-doc-0.34-r2.apk 25-Oct-2024 19:09 7831
geomyidae-openrc-0.34-r2.apk 25-Oct-2024 19:09 2036
geonames-0.3.1-r2.apk 25-Oct-2024 19:09 847106
geonames-dev-0.3.1-r2.apk 25-Oct-2024 19:09 3089
geonames-doc-0.3.1-r2.apk 25-Oct-2024 19:09 12899
geonames-lang-0.3.1-r2.apk 25-Oct-2024 19:09 4801103
geotagging-0.7.4-r0.apk 12-Nov-2024 11:04 440277
getmail6-6.19.07-r0.apk 26-Jan-2025 15:48 72681
getmail6-doc-6.19.07-r0.apk 26-Jan-2025 15:48 140776
getmail6-pyc-6.19.07-r0.apk 26-Jan-2025 15:48 107329
getssl-2.48-r0.apk 25-Oct-2024 19:09 84160
getting-things-gnome-0.6-r4.apk 08-Dec-2024 21:43 732083
getting-things-gnome-doc-0.6-r4.apk 08-Dec-2024 21:43 509337
getting-things-gnome-lang-0.6-r4.apk 08-Dec-2024 21:43 234202
gf2x-1.3.0-r1.apk 25-Oct-2024 19:09 39857
gf2x-dev-1.3.0-r1.apk 25-Oct-2024 19:09 62320
gfan-0.6.2-r1.apk 25-Oct-2024 19:09 1559766
ghc-filesystem-1.5.14-r0.apk 25-Oct-2024 19:09 39542
ghostcloud-0.9.9.5-r2.apk 25-Oct-2024 19:09 453504
ghostty-1.1.2-r1.apk 23-Feb-2025 22:30 19749655
ghostty-bash-completion-1.1.2-r1.apk 23-Feb-2025 22:30 5648
ghostty-doc-1.1.2-r1.apk 23-Feb-2025 22:30 2245
ghostty-fish-completion-1.1.2-r1.apk 23-Feb-2025 22:30 3856
ghostty-zsh-completion-1.1.2-r1.apk 23-Feb-2025 22:30 3983
ghq-1.7.1-r2.apk 12-Feb-2025 17:33 3549961
ghq-bash-completion-1.7.1-r2.apk 12-Feb-2025 17:33 1771
ghq-doc-1.7.1-r2.apk 12-Feb-2025 17:33 5489
ghq-fish-completion-1.7.1-r2.apk 12-Feb-2025 17:33 2473
ghq-zsh-completion-1.7.1-r2.apk 12-Feb-2025 17:33 2456
gimp-plugin-gmic-3.3.5-r1.apk 21-Nov-2024 23:19 1482416
ginac-1.8.7-r1.apk 25-Oct-2024 19:09 1106901
ginac-dev-1.8.7-r1.apk 25-Oct-2024 19:09 71595
ginac-doc-1.8.7-r1.apk 25-Oct-2024 19:09 100345
ginger-2.4.0-r7.apk 25-Oct-2024 19:09 263234
ginger-lang-2.4.0-r7.apk 25-Oct-2024 19:09 128459
ginger-pyc-2.4.0-r7.apk 25-Oct-2024 19:09 211801
gingerbase-2.3.0-r7.apk 25-Oct-2024 19:09 199911
gingerbase-lang-2.3.0-r7.apk 25-Oct-2024 19:09 54136
gingerbase-pyc-2.3.0-r7.apk 25-Oct-2024 19:09 62949
git-bug-0.8.0-r16.apk 12-Feb-2025 17:33 9029033
git-bug-bash-completion-0.8.0-r16.apk 12-Feb-2025 17:33 5251
git-bug-doc-0.8.0-r16.apk 12-Feb-2025 17:33 16980
git-bug-fish-completion-0.8.0-r16.apk 12-Feb-2025 17:33 3956
git-bug-zsh-completion-0.8.0-r16.apk 12-Feb-2025 17:33 4041
git-cola-4.12.0-r0.apk 23-Feb-2025 20:10 882461
git-cola-doc-4.12.0-r0.apk 23-Feb-2025 20:10 5932
git-cola-pyc-4.12.0-r0.apk 23-Feb-2025 20:10 792594
git-extras-7.3.0-r0.apk 20-Nov-2024 00:45 56693
git-extras-bash-completion-7.3.0-r0.apk 20-Nov-2024 00:45 2887
git-extras-doc-7.3.0-r0.apk 20-Nov-2024 00:45 64875
git-graph-0.6.0-r0.apk 25-Nov-2024 23:38 840805
git-graph-doc-0.6.0-r0.apk 25-Nov-2024 23:38 6390
git-quick-stats-2.5.8-r0.apk 25-Oct-2024 19:09 12680
git-quick-stats-doc-2.5.8-r0.apk 25-Oct-2024 19:09 2948
git-revise-0.7.0-r5.apk 25-Oct-2024 19:09 24883
git-revise-doc-0.7.0-r5.apk 25-Oct-2024 19:09 5079
git-revise-pyc-0.7.0-r5.apk 25-Oct-2024 19:09 43205
git-secret-0.5.0-r0.apk 25-Oct-2024 19:09 15104
git-secret-doc-0.5.0-r0.apk 25-Oct-2024 19:09 17475
git2json-0.2.3-r8.apk 25-Oct-2024 19:09 7601
git2json-pyc-0.2.3-r8.apk 25-Oct-2024 19:09 5827
gitoxide-0.14.0-r1.apk 25-Oct-2024 19:09 2723920
gkrellm-2.3.11-r0.apk 08-Jan-2025 22:36 358753
gkrellm-dev-2.3.11-r0.apk 08-Jan-2025 22:36 16900
gkrellm-doc-2.3.11-r0.apk 08-Jan-2025 22:36 18974
gkrellm-lang-2.3.11-r0.apk 08-Jan-2025 22:36 388219
gkrellm-server-2.3.11-r0.apk 08-Jan-2025 22:36 52712
glfw-wayland-3.3.8-r3.apk 25-Oct-2024 19:09 67586
glfw-wayland-dbg-3.3.8-r3.apk 25-Oct-2024 19:09 199822
glfw-wayland-dev-3.3.8-r3.apk 25-Oct-2024 19:09 46710
gliderlabs-sigil-0.11.0-r2.apk 12-Feb-2025 17:33 3058398
gliderlabs-sigil-doc-0.11.0-r2.apk 12-Feb-2025 17:33 2408
glmark2-2023.01-r1.apk 25-Oct-2024 19:09 8329633
glmark2-doc-2023.01-r1.apk 25-Oct-2024 19:09 13115
gloox-1.0.28-r0.apk 25-Oct-2024 19:09 373307
gloox-dev-1.0.28-r0.apk 25-Oct-2024 19:09 958335
glow-2.0.0-r2.apk 12-Feb-2025 17:33 5660469
glow-bash-completion-2.0.0-r2.apk 12-Feb-2025 17:33 5118
glow-doc-2.0.0-r2.apk 12-Feb-2025 17:33 3194
glow-fish-completion-2.0.0-r2.apk 12-Feb-2025 17:33 4356
glow-zsh-completion-2.0.0-r2.apk 12-Feb-2025 17:33 4077
glslviewer-3.2.4-r1.apk 08-Feb-2025 23:46 1818163
gmcapsule-0.9.7-r0.apk 08-Jan-2025 19:12 36900
gmcapsule-openrc-0.9.7-r0.apk 08-Jan-2025 19:12 1974
gmcapsule-pyc-0.9.7-r0.apk 08-Jan-2025 19:12 62087
gmenuharness-0.1.4-r2.apk 17-Feb-2025 15:07 37483
gmenuharness-dev-0.1.4-r2.apk 17-Feb-2025 15:07 4162
gmic-3.3.5-r1.apk 21-Nov-2024 23:19 11863293
gmic-bash-completion-3.3.5-r1.apk 21-Nov-2024 23:19 29086
gmic-dev-3.3.5-r1.apk 21-Nov-2024 23:19 7861
gmic-doc-3.3.5-r1.apk 21-Nov-2024 23:19 224078
gmic-libs-3.3.5-r1.apk 21-Nov-2024 23:19 4575123
gmic-qt-3.3.5-r1.apk 21-Nov-2024 23:19 1816837
gmid-2.1.1-r0.apk 27-Nov-2024 19:26 232005
gmid-doc-2.1.1-r0.apk 27-Nov-2024 19:26 14789
gmid-openrc-2.1.1-r0.apk 27-Nov-2024 19:26 2248
gmsh-4.12.2-r2.apk 25-Oct-2024 19:09 8829971
gmsh-dbg-4.12.2-r2.apk 25-Oct-2024 19:09 147920941
gmsh-doc-4.12.2-r2.apk 25-Oct-2024 19:09 2038924
gmsh-py-4.12.2-r2.apk 25-Oct-2024 19:09 6906
gnome-common-3.18.0-r3.apk 25-Oct-2024 19:09 11807
gnome-latex-3.47.0-r2.apk 12-Dec-2024 19:33 372018
gnome-latex-doc-3.47.0-r2.apk 12-Dec-2024 19:33 107553
gnome-latex-lang-3.47.0-r2.apk 12-Dec-2024 19:33 543053
gnome-metronome-1.3.0-r0.apk 25-Oct-2024 19:09 465830
gnome-metronome-lang-1.3.0-r0.apk 25-Oct-2024 19:09 25275
gnome-user-share-47.2-r0.apk 23-Feb-2025 23:37 14698
gnome-user-share-lang-47.2-r0.apk 23-Feb-2025 23:37 67826
gnu-apl-1.9-r0.apk 25-Oct-2024 19:09 1388474
gnu-apl-dev-1.9-r0.apk 25-Oct-2024 19:09 612868
gnu-apl-doc-1.9-r0.apk 25-Oct-2024 19:09 1632312
gnucash-5.10-r1.apk 17-Feb-2025 15:07 8637068
gnucash-dev-5.10-r1.apk 17-Feb-2025 15:07 309498
gnucash-doc-5.10-r1.apk 17-Feb-2025 15:07 1444031
gnucash-lang-5.10-r1.apk 17-Feb-2025 15:07 8558945
go-jsonnet-0.20.0-r11.apk 12-Feb-2025 17:33 6112418
go-mtpfs-1.0.0-r24.apk 12-Feb-2025 17:33 1157715
go-passbolt-cli-0.3.1-r5.apk 12-Feb-2025 17:33 6581329
goawk-1.29.1-r2.apk 12-Feb-2025 17:33 1206826
goawk-doc-1.29.1-r2.apk 12-Feb-2025 17:33 44893
gobang-0.1.0_alpha5-r1.apk 25-Oct-2024 19:09 1950087
gobuster-3.6.0-r9.apk 12-Feb-2025 17:33 3348074
godot-4.3-r3.apk 28-Jan-2025 23:07 45459087
godot-doc-4.3-r3.apk 28-Jan-2025 23:07 4599
godot-templates-4.3-r3.apk 28-Jan-2025 23:07 41177349
gomp-1.0.0-r9.apk 12-Feb-2025 17:33 3411382
goomwwm-1.0.0-r5.apk 25-Oct-2024 19:09 47595
goreman-0.3.15-r10.apk 12-Feb-2025 17:33 2154979
gortr-0.14.8-r10.apk 12-Feb-2025 17:33 9854560
gortr-openrc-0.14.8-r10.apk 12-Feb-2025 17:33 1941
goshs-1.0.1-r2.apk 12-Feb-2025 17:33 5656861
goshs-doc-1.0.1-r2.apk 12-Feb-2025 17:33 2257
gossip-0.13.0-r0.apk 27-Dec-2024 14:56 28698515
gossip-doc-0.13.0-r0.apk 27-Dec-2024 14:56 16980
gost-2.12.0-r2.apk 12-Feb-2025 17:33 5401454
gost-doc-2.12.0-r2.apk 12-Feb-2025 17:33 2238
gosu-1.17-r7.apk 12-Feb-2025 17:33 1064971
gotestsum-1.12.0-r4.apk 12-Feb-2025 17:33 2316149
gotify-cli-2.3.2-r2.apk 12-Feb-2025 17:33 4058984
goxel-0.15.1-r0.apk 25-Oct-2024 19:09 1761580
gpa-0.10.0-r2.apk 25-Oct-2024 19:09 240505
gpa-doc-0.10.0-r2.apk 25-Oct-2024 19:09 2919
gpg-remailer-3.04.07-r1.apk 25-Oct-2024 19:09 48078
gpg-remailer-doc-3.04.07-r1.apk 25-Oct-2024 19:09 9711
gprbuild-22.0.0-r3.apk 25-Oct-2024 19:09 12781067
gpsbabel-1.9.0-r0.apk 25-Oct-2024 19:09 1315909
gpsbabel-lang-1.9.0-r0.apk 25-Oct-2024 19:09 90350
gpscorrelate-2.2-r0.apk 12-Nov-2024 11:07 46117
gpscorrelate-cli-2.2-r0.apk 12-Nov-2024 11:07 22983
gpscorrelate-doc-2.2-r0.apk 12-Nov-2024 11:07 233395
gpscorrelate-lang-2.2-r0.apk 12-Nov-2024 11:07 17446
gr-satellites-5.5.0-r4.apk 18-Dec-2024 12:16 499947
gr-satellites-dev-5.5.0-r4.apk 18-Dec-2024 12:16 12959
gr-satellites-doc-5.5.0-r4.apk 18-Dec-2024 12:16 4569
granite7-7.5.0-r0.apk 25-Oct-2024 19:09 122349
granite7-dev-7.5.0-r0.apk 25-Oct-2024 19:09 43140
granite7-lang-7.5.0-r0.apk 25-Oct-2024 19:09 53219
grcov-0.8.20-r0.apk 11-Nov-2024 10:02 1831271
greetd-mini-wl-greeter-0_git20230821-r0.apk 25-Oct-2024 19:09 20480
greetd-mini-wl-greeter-bash-completion-0_git202..> 25-Oct-2024 19:09 2247
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk 25-Oct-2024 19:09 3356
greetd-wlgreet-0.5.0-r0.apk 25-Oct-2024 19:09 609884
grip-4.2.4-r0.apk 25-Oct-2024 19:09 392077
grip-doc-4.2.4-r0.apk 25-Oct-2024 19:09 6326
grip-lang-4.2.4-r0.apk 25-Oct-2024 19:09 147890
gron-0.7.1-r21.apk 12-Feb-2025 17:33 2398230
grpc-health-check-0.1.1-r3.apk 25-Oct-2024 19:09 988331
grpcui-1.4.2-r2.apk 12-Feb-2025 17:33 8355337
grpcurl-1.9.2-r2.apk 12-Feb-2025 17:33 7913470
gsettings-qt-0.2_git20220807-r1.apk 25-Oct-2024 19:09 47972
gsettings-qt-dev-0.2_git20220807-r1.apk 25-Oct-2024 19:09 3666
gsimplecal-2.5.1-r0.apk 25-Oct-2024 19:09 17113
gsimplecal-doc-2.5.1-r0.apk 25-Oct-2024 19:09 5942
gssdp-1.6.3-r0.apk 01-Dec-2024 12:57 47701
gssdp-dev-1.6.3-r0.apk 01-Dec-2024 12:57 15826
gst-rtsp-server-1.24.12-r0.apk 22-Feb-2025 15:37 224719
gst-rtsp-server-dev-1.24.12-r0.apk 22-Feb-2025 15:37 95687
gstreamermm-1.10.0-r6.apk 17-Feb-2025 15:07 474231
gstreamermm-dev-1.10.0-r6.apk 17-Feb-2025 15:07 317172
gtk-session-lock-0.2.0-r0.apk 01-Feb-2025 16:09 30863
gtk-session-lock-dev-0.2.0-r0.apk 01-Feb-2025 16:09 5404
gtk4-layer-shell-1.1.0-r0.apk 01-Feb-2025 16:09 34359
gtk4-layer-shell-demo-1.1.0-r0.apk 01-Feb-2025 16:09 10680
gtk4-layer-shell-dev-1.1.0-r0.apk 01-Feb-2025 16:09 12474
gtk4-layer-shell-doc-1.1.0-r0.apk 01-Feb-2025 16:09 2283
gtkhash-1.5-r0.apk 25-Oct-2024 19:09 89049
gtkhash-lang-1.5-r0.apk 25-Oct-2024 19:09 47744
gtklock-4.0.0-r0.apk 01-Feb-2025 16:09 19764
gtklock-doc-4.0.0-r0.apk 01-Feb-2025 16:09 3044
gtkwave-3.3.120-r0.apk 25-Oct-2024 19:09 2678163
gtkwave-doc-3.3.120-r0.apk 25-Oct-2024 19:09 27772
guake-3.10-r1.apk 25-Oct-2024 19:09 312650
guake-lang-3.10-r1.apk 25-Oct-2024 19:09 192304
guake-pyc-3.10-r1.apk 25-Oct-2024 19:09 190386
guestfs-tools-1.52.0-r1.apk 25-Oct-2024 19:09 313815
guetzli-0_git20191025-r1.apk 25-Oct-2024 19:09 139417
guetzli-dev-0_git20191025-r1.apk 25-Oct-2024 19:09 2345812
gufw-24.04-r3.apk 20-Nov-2024 00:45 610792
gufw-doc-24.04-r3.apk 20-Nov-2024 00:45 4591
gufw-lang-24.04-r3.apk 20-Nov-2024 00:45 875100
gufw-pyc-24.04-r3.apk 20-Nov-2024 00:45 66125
guish-2.6.11-r0.apk 24-Dec-2024 10:42 97504
guish-doc-2.6.11-r0.apk 24-Dec-2024 10:42 62761
gupnp-1.6.8-r0.apk 26-Jan-2025 06:27 91857
gupnp-av-0.14.3-r0.apk 16-Jan-2025 00:57 81371
gupnp-av-dev-0.14.3-r0.apk 16-Jan-2025 00:57 41880
gupnp-dev-1.6.8-r0.apk 26-Jan-2025 06:27 51536
gupnp-dlna-0.12.0-r0.apk 01-Dec-2024 12:57 70064
gupnp-dlna-dev-0.12.0-r0.apk 01-Dec-2024 12:57 24834
gupnp-doc-1.6.8-r0.apk 26-Jan-2025 06:27 3836
gutenprint-5.3.4-r5.apk 25-Oct-2024 19:09 828712
gutenprint-cups-5.3.4-r5.apk 25-Oct-2024 19:09 51029725
gutenprint-dev-5.3.4-r5.apk 25-Oct-2024 19:09 36588
gutenprint-doc-5.3.4-r5.apk 25-Oct-2024 19:09 8608
gutenprint-lang-5.3.4-r5.apk 25-Oct-2024 19:09 1957940
gutenprint-libs-5.3.4-r5.apk 25-Oct-2024 19:09 504898
gutenprint-samples-5.3.4-r5.apk 25-Oct-2024 19:09 650707
gutenprint-static-5.3.4-r5.apk 25-Oct-2024 19:09 860682
gx-0.14.3-r27.apk 12-Feb-2025 17:33 4500113
gx-doc-0.14.3-r27.apk 12-Feb-2025 17:33 2265
gx-go-1.9.0-r29.apk 12-Feb-2025 17:33 4749240
gx-go-doc-1.9.0-r29.apk 12-Feb-2025 17:33 2257
gxlimg-0_git20240711-r0.apk 26-Jan-2025 06:27 20647
h4h5tools-2.2.5-r4.apk 25-Oct-2024 19:09 103957
h4h5tools-dev-2.2.5-r4.apk 25-Oct-2024 19:09 8965
h4h5tools-doc-2.2.5-r4.apk 25-Oct-2024 19:09 2790
h4h5tools-static-2.2.5-r4.apk 25-Oct-2024 19:09 115287
habitctl-0.1.0-r2.apk 25-Oct-2024 19:09 314331
halp-0.2.0-r0.apk 25-Oct-2024 19:09 1070019
halp-bash-completion-0.2.0-r0.apk 25-Oct-2024 19:09 2224
halp-doc-0.2.0-r0.apk 25-Oct-2024 19:09 7043
halp-fish-completion-0.2.0-r0.apk 25-Oct-2024 19:09 2004
halp-zsh-completion-0.2.0-r0.apk 25-Oct-2024 19:09 2460
hamster-time-tracker-3.0.3-r2.apk 25-Oct-2024 19:09 159570
hamster-time-tracker-bash-completion-3.0.3-r2.apk 25-Oct-2024 19:09 2021
hamster-time-tracker-doc-3.0.3-r2.apk 25-Oct-2024 19:09 118989
hamster-time-tracker-lang-3.0.3-r2.apk 25-Oct-2024 19:09 210638
hamster-time-tracker-pyc-3.0.3-r2.apk 25-Oct-2024 19:09 366727
handlebars-1.0.0-r1.apk 25-Oct-2024 19:09 107266
handlebars-dev-1.0.0-r1.apk 25-Oct-2024 19:09 33163
handlebars-utils-1.0.0-r1.apk 25-Oct-2024 19:09 10179
hangover-wine-9.5-r0.apk 25-Oct-2024 19:09 112080750
hangover-wine-dev-9.5-r0.apk 25-Oct-2024 19:09 9829331
hangover-wine-doc-9.5-r0.apk 25-Oct-2024 19:09 44134
haproxy-dataplaneapi-3.0.1-r2.apk 12-Feb-2025 17:33 11136534
haproxy-dataplaneapi-openrc-3.0.1-r2.apk 12-Feb-2025 17:33 2105
hardened-malloc-13-r0.apk 25-Oct-2024 19:09 36547
hare-cairo-0_git20240217-r1.apk 28-Nov-2024 16:16 60299
hare-compress-0_git20240623-r1.apk 28-Nov-2024 16:16 423094
hare-harfbuzz-0_git20240715-r1.apk 28-Nov-2024 16:16 18012
hare-http-0_git20240419-r0.apk 29-Nov-2024 12:12 12077
hare-madeline-0.1_git20240505-r1.apk 28-Nov-2024 16:16 25166
hare-madeline-doc-0.1_git20240505-r1.apk 28-Nov-2024 16:16 2212
hare-message-0_git20240425-r0.apk 29-Nov-2024 12:12 8667
hare-scfg-0.24.2-r1.apk 28-Nov-2024 16:16 4095
hare-unicode-0_git20240417-r1.apk 28-Nov-2024 16:16 25230
hare-wayland-0_git20240817-r1.apk 28-Nov-2024 16:16 233769
hare-xkb-0_git20231011-r1.apk 28-Nov-2024 16:16 25369
haredo-1.0.5-r1.apk 28-Nov-2024 16:16 163911
haredo-doc-1.0.5-r1.apk 28-Nov-2024 16:16 4776
hari-0_git20240715-r0.apk 25-Oct-2024 19:09 22573
harminv-1.4.2-r1.apk 25-Oct-2024 19:09 7885
harminv-dev-1.4.2-r1.apk 25-Oct-2024 19:09 3208
harminv-doc-1.4.2-r1.apk 25-Oct-2024 19:09 5797
harminv-libs-1.4.2-r1.apk 25-Oct-2024 19:09 23945
hashcat-6.2.6-r0.apk 25-Oct-2024 19:09 62349274
hashcat-doc-6.2.6-r0.apk 25-Oct-2024 19:09 2253583
haskell-language-server-2.9.0.0-r0.apk 25-Oct-2024 19:09 79604910
hatop-0.8.2-r0.apk 25-Oct-2024 19:09 18384
hatop-doc-0.8.2-r0.apk 25-Oct-2024 19:09 3062
haxe-4.3.6-r0.apk 29-Nov-2024 06:11 12788075
haxe-doc-4.3.6-r0.apk 29-Nov-2024 06:11 7930
hctl-0.2.6-r0.apk 25-Oct-2024 19:09 1252157
hddfancontrol-1.6.2-r0.apk 25-Oct-2024 19:09 33620
hddfancontrol-openrc-1.6.2-r0.apk 25-Oct-2024 19:09 2238
hddfancontrol-pyc-1.6.2-r0.apk 25-Oct-2024 19:09 34847
hdf4-4.2.15-r2.apk 25-Oct-2024 19:09 252262
hdf4-dev-4.2.15-r2.apk 25-Oct-2024 19:09 103280
hdf4-doc-4.2.15-r2.apk 25-Oct-2024 19:09 6152
hdf4-tools-4.2.15-r2.apk 25-Oct-2024 19:09 215722
heh-0.6.1-r0.apk 25-Oct-2024 19:09 452448
heh-doc-0.6.1-r0.apk 25-Oct-2024 19:09 4165
heisenbridge-1.15.0-r0.apk 23-Feb-2025 01:57 68488
heisenbridge-pyc-1.15.0-r0.apk 23-Feb-2025 01:57 158064
helm-diff-3.9.13-r2.apk 12-Feb-2025 17:33 20265436
helm-ls-0.0.12-r6.apk 12-Feb-2025 17:33 10665913
helm-ls-doc-0.0.12-r6.apk 12-Feb-2025 17:33 2238
helm-mapkubeapis-0.5.2-r2.apk 12-Feb-2025 17:33 18839426
helm-unittest-0.7.1-r2.apk 12-Feb-2025 17:33 10754925
helmfile-0.170.1-r1.apk 12-Feb-2025 17:33 44504348
helmfile-bash-completion-0.170.1-r1.apk 12-Feb-2025 17:33 5186
helmfile-doc-0.170.1-r1.apk 12-Feb-2025 17:33 2239
helmfile-fish-completion-0.170.1-r1.apk 12-Feb-2025 17:33 4367
helmfile-zsh-completion-0.170.1-r1.apk 12-Feb-2025 17:33 4081
helvum-0.5.1-r0.apk 25-Oct-2024 19:09 314828
herbe-1.0.0-r0.apk 25-Oct-2024 19:09 5664
hex-0.6.0-r0.apk 25-Oct-2024 19:09 291480
hexdiff-0.0.53-r2.apk 25-Oct-2024 19:09 14931
hexdiff-doc-0.0.53-r2.apk 25-Oct-2024 19:09 3817
hexedit-1.6_git20230905-r0.apk 25-Oct-2024 19:09 17909
hexedit-doc-1.6_git20230905-r0.apk 25-Oct-2024 19:09 5727
hexer-1.4.0-r16.apk 07-Nov-2024 23:59 59095
hexer-dev-1.4.0-r16.apk 07-Nov-2024 23:59 7495
hfst-3.16.0-r2.apk 25-Oct-2024 19:09 1461967
hfst-dev-3.16.0-r2.apk 25-Oct-2024 19:09 217628
hfst-doc-3.16.0-r2.apk 25-Oct-2024 19:09 72763
hfst-libs-3.16.0-r2.apk 25-Oct-2024 19:09 1719905
hiawatha-11.6-r0.apk 25-Oct-2024 19:09 189106
hiawatha-doc-11.6-r0.apk 25-Oct-2024 19:09 21592
hiawatha-letsencrypt-11.6-r0.apk 25-Oct-2024 19:09 17989
hiawatha-openrc-11.6-r0.apk 25-Oct-2024 19:09 1717
hidrd-0.2.0_git20190603-r1.apk 25-Oct-2024 19:09 79246
hidrd-dev-0.2.0_git20190603-r1.apk 25-Oct-2024 19:09 132692
highctidh-1.0.2024092800-r0.apk 25-Nov-2024 20:23 373039
highctidh-dev-1.0.2024092800-r0.apk 25-Nov-2024 20:23 412746
highfive-2.10.1-r0.apk 15-Jan-2025 02:50 77292
hikari-2.3.3-r6.apk 25-Oct-2024 19:09 963971
hikari-doc-2.3.3-r6.apk 25-Oct-2024 19:09 13907
hikari-unlocker-2.3.3-r6.apk 25-Oct-2024 19:09 4286
hilbish-2.3.4-r2.apk 12-Feb-2025 17:33 3292915
hilbish-doc-2.3.4-r2.apk 12-Feb-2025 17:33 25465
himitsu-firefox-0.6-r1.apk 28-Nov-2024 16:16 211393
himitsu-git-0.3.0-r0.apk 25-Oct-2024 19:09 139924
himitsu-keyring-0.2.0-r0.apk 25-Oct-2024 19:09 13662
hiprompt-gtk-py-0.8.0-r0.apk 25-Oct-2024 19:09 8452
hitide-0.15.0-r0.apk 25-Oct-2024 19:09 1964235
hitide-openrc-0.15.0-r0.apk 25-Oct-2024 19:09 2185
homebank-5.8.6-r0.apk 05-Jan-2025 23:12 1955876
homebank-lang-5.8.6-r0.apk 05-Jan-2025 23:12 941937
hopalong-0.1-r3.apk 25-Oct-2024 19:09 22749
horizon-0.9.6-r9.apk 25-Oct-2024 19:09 208588
horizon-dbg-0.9.6-r9.apk 25-Oct-2024 19:09 4158173
horizon-dev-0.9.6-r9.apk 25-Oct-2024 19:09 4994
horizon-doc-0.9.6-r9.apk 25-Oct-2024 19:09 21963
horizon-image-0.9.6-r9.apk 25-Oct-2024 19:09 68690
horizon-tools-0.9.6-r9.apk 25-Oct-2024 19:09 83777
horust-0.1.7-r2.apk 25-Oct-2024 19:09 1049958
horust-doc-0.1.7-r2.apk 25-Oct-2024 19:09 9391
howard-bc-7.0.3-r0.apk 25-Oct-2024 19:09 68567
howard-bc-doc-7.0.3-r0.apk 25-Oct-2024 19:09 39592
hping3-20051105-r4.apk 25-Oct-2024 19:09 70056
hping3-doc-20051105-r4.apk 25-Oct-2024 19:09 17285
hpnssh-18.4.1-r0.apk 25-Oct-2024 19:09 2267890
hpnssh-doc-18.4.1-r0.apk 25-Oct-2024 19:09 100594
hsetroot-1.0.5-r1.apk 25-Oct-2024 19:09 11572
hstdb-2.1.0-r2.apk 25-Oct-2024 19:09 872584
htmlcxx-0.87-r1.apk 25-Oct-2024 19:09 64014
htmlcxx-dev-0.87-r1.apk 25-Oct-2024 19:09 21110
htmldoc-1.9.20-r0.apk 10-Dec-2024 15:42 2441254
htmldoc-doc-1.9.20-r0.apk 10-Dec-2024 15:42 100367
htslib-1.19-r0.apk 25-Oct-2024 19:09 398184
htslib-dev-1.19-r0.apk 25-Oct-2024 19:09 118073
htslib-doc-1.19-r0.apk 25-Oct-2024 19:09 23201
htslib-static-1.19-r0.apk 25-Oct-2024 19:09 508110
htslib-tools-1.19-r0.apk 25-Oct-2024 19:09 1307812
httpie-oauth-1.0.2-r9.apk 25-Oct-2024 19:09 3446
httpie-oauth-pyc-1.0.2-r9.apk 25-Oct-2024 19:09 2363
httpx-1.6.10-r1.apk 12-Feb-2025 17:33 13090964
httpx-doc-1.6.10-r1.apk 12-Feb-2025 17:33 2275
httrack-3.49.2-r5.apk 25-Oct-2024 19:09 783151
httrack-doc-3.49.2-r5.apk 25-Oct-2024 19:09 540623
hub-2.14.2-r28.apk 12-Feb-2025 17:33 2732338
hub-bash-completion-2.14.2-r28.apk 12-Feb-2025 17:33 4633
hub-doc-2.14.2-r28.apk 12-Feb-2025 17:33 42968
hub-fish-completion-2.14.2-r28.apk 12-Feb-2025 17:33 3319
hub-zsh-completion-2.14.2-r28.apk 12-Feb-2025 17:33 3731
hubble-cli-0.13.6-r2.apk 12-Feb-2025 17:33 17343816
hubble-cli-bash-completion-0.13.6-r2.apk 12-Feb-2025 17:33 5132
hubble-cli-fish-completion-0.13.6-r2.apk 12-Feb-2025 17:33 4367
hubble-cli-zsh-completion-0.13.6-r2.apk 12-Feb-2025 17:33 4082
hunspell-ca-es-3.0.7-r0.apk 25-Oct-2024 19:09 748801
hurl-6.0.0-r0.apk 07-Dec-2024 20:19 1780562
hurl-bash-completion-6.0.0-r0.apk 07-Dec-2024 20:19 2252
hurl-doc-6.0.0-r0.apk 07-Dec-2024 20:19 8819
hurl-fish-completion-6.0.0-r0.apk 07-Dec-2024 20:19 3496
hurl-zsh-completion-6.0.0-r0.apk 07-Dec-2024 20:19 3981
hurlfmt-6.0.0-r0.apk 07-Dec-2024 20:19 823632
hw-probe-1.6.6-r0.apk 12-Feb-2025 17:33 126722
hwatch-0.3.11-r0.apk 25-Oct-2024 19:09 994274
hwatch-doc-0.3.11-r0.apk 25-Oct-2024 19:09 3114
hwatch-fish-completion-0.3.11-r0.apk 25-Oct-2024 19:09 1859
hwatch-zsh-completion-0.3.11-r0.apk 25-Oct-2024 19:09 1971
hx-1.0.15-r0.apk 25-Oct-2024 19:09 15702
hx-doc-1.0.15-r0.apk 25-Oct-2024 19:09 4903
hy-1.0.0-r0.apk 25-Oct-2024 19:09 87347
hy-pyc-1.0.0-r0.apk 25-Oct-2024 19:09 173170
hyfetch-1.99.0-r1.apk 25-Oct-2024 19:09 443304
hyfetch-bash-completion-1.99.0-r1.apk 25-Oct-2024 19:09 3362
hyfetch-doc-1.99.0-r1.apk 25-Oct-2024 19:09 16911
hyfetch-pyc-1.99.0-r1.apk 25-Oct-2024 19:09 184316
hyfetch-zsh-completion-1.99.0-r1.apk 25-Oct-2024 19:09 2577
hypnotix-3.5-r0.apk 25-Oct-2024 19:09 112700
hypnotix-lang-3.5-r0.apk 25-Oct-2024 19:09 74144
hyx-2024.02.29-r0.apk 25-Oct-2024 19:09 17781
hyx-doc-2024.02.29-r0.apk 25-Oct-2024 19:09 2291
i2util-4.2.1-r1.apk 25-Oct-2024 19:09 24017
i2util-dev-4.2.1-r1.apk 25-Oct-2024 19:09 49567
i2util-doc-4.2.1-r1.apk 25-Oct-2024 19:09 4824
i3status-rust-0.33.2-r0.apk 11-Nov-2024 03:20 4322782
i3status-rust-doc-0.33.2-r0.apk 11-Nov-2024 03:20 34311
icesprog-0_git20240108-r1.apk 25-Oct-2024 19:09 9580
icesprog-udev-0_git20240108-r1.apk 25-Oct-2024 19:09 1938
icestorm-0_git20240517-r0.apk 25-Oct-2024 19:09 17301362
icingaweb2-module-businessprocess-2.5.1-r0.apk 17-Feb-2025 15:07 111968
icingaweb2-module-businessprocess-doc-2.5.1-r0.apk 17-Feb-2025 15:07 2142059
icingaweb2-module-fileshipper-1.2.0-r3.apk 25-Oct-2024 19:09 11071
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk 25-Oct-2024 19:09 236383
icingaweb2-module-generictts-2.1.0-r0.apk 25-Oct-2024 19:09 6759
icingaweb2-module-generictts-doc-2.1.0-r0.apk 25-Oct-2024 19:09 1863
icingaweb2-module-pnp-1.1.0-r1.apk 25-Oct-2024 19:09 9262
icingaweb2-module-pnp-doc-1.1.0-r1.apk 25-Oct-2024 19:09 1604
icmake-9.03.01-r0.apk 25-Oct-2024 19:09 125133
icmake-doc-9.03.01-r0.apk 25-Oct-2024 19:09 130461
idesk-1-r1.apk 25-Oct-2024 19:09 69802
ideviceinstaller-1.1.1-r4.apk 30-Oct-2024 22:44 14444
ideviceinstaller-doc-1.1.1-r4.apk 30-Oct-2024 22:44 2512
idevicerestore-1.0.0-r4.apk 30-Oct-2024 22:44 82505
idevicerestore-doc-1.0.0-r4.apk 30-Oct-2024 22:44 2596
ifuse-1.1.4-r5.apk 30-Oct-2024 22:44 10420
ifuse-doc-1.1.4-r5.apk 30-Oct-2024 22:44 2398
igrep-1.2.0-r0.apk 25-Oct-2024 19:09 1642148
igrep-doc-1.2.0-r0.apk 25-Oct-2024 19:09 4255
ijq-1.1.0-r5.apk 12-Feb-2025 17:33 1398876
ijq-doc-1.1.0-r5.apk 12-Feb-2025 17:33 3536
imapfilter-2.8.2-r0.apk 25-Oct-2024 19:09 41871
imapfilter-doc-2.8.2-r0.apk 25-Oct-2024 19:09 13141
imediff-2.6-r1.apk 25-Oct-2024 19:09 42581
imediff-doc-2.6-r1.apk 25-Oct-2024 19:09 6689
imediff-pyc-2.6-r1.apk 25-Oct-2024 19:09 44709
imgdiff-1.0.2-r23.apk 12-Feb-2025 17:33 966453
imgdiff-doc-1.0.2-r23.apk 12-Feb-2025 17:33 2244
imrsh-0_git20210320-r1.apk 25-Oct-2024 19:09 8981
imrsh-dbg-0_git20210320-r1.apk 25-Oct-2024 19:09 19802
incus-ui-canonical-0.14.6-r0.apk 03-Feb-2025 23:41 4736007
initify-0_git20171210-r1.apk 25-Oct-2024 19:09 3356
innernet-1.6.1-r0.apk 25-Oct-2024 19:09 2767237
innernet-bash-completion-1.6.1-r0.apk 25-Oct-2024 19:09 3916
innernet-doc-1.6.1-r0.apk 25-Oct-2024 19:09 9247
innernet-fish-completion-1.6.1-r0.apk 25-Oct-2024 19:09 4639
innernet-openrc-1.6.1-r0.apk 25-Oct-2024 19:09 2352
innernet-zsh-completion-1.6.1-r0.apk 25-Oct-2024 19:09 5582
interception-tools-0.6.8-r2.apk 25-Oct-2024 19:09 114146
interception-tools-openrc-0.6.8-r2.apk 25-Oct-2024 19:09 1727
intiface-central-2.6.5-r1.apk 23-Feb-2025 23:37 7231518
invidtui-0.4.6-r2.apk 12-Feb-2025 17:33 3873391
iotas-0.10.2-r0.apk 27-Feb-2025 18:02 192395
iotas-lang-0.10.2-r0.apk 27-Feb-2025 18:02 75559
iotas-pyc-0.10.2-r0.apk 27-Feb-2025 18:02 313263
ip2location-8.6.1-r0.apk 25-Oct-2024 19:09 26502
ip2location-dev-8.6.1-r0.apk 25-Oct-2024 19:09 13361
ip2location-doc-8.6.1-r0.apk 25-Oct-2024 19:09 2775
iprange-1.0.4-r1.apk 25-Oct-2024 19:09 20414
iprange-doc-1.0.4-r1.apk 25-Oct-2024 19:09 4638
irccd-4.0.3-r0.apk 25-Oct-2024 19:09 272219
irccd-dev-4.0.3-r0.apk 25-Oct-2024 19:09 9853
irccd-doc-4.0.3-r0.apk 25-Oct-2024 19:09 82301
irccd-openrc-4.0.3-r0.apk 25-Oct-2024 19:09 1863
ircd-hybrid-8.2.46-r0.apk 26-Jan-2025 06:27 506441
ircd-hybrid-doc-8.2.46-r0.apk 26-Jan-2025 06:27 3692
ircdog-0.5.4-r2.apk 12-Feb-2025 17:33 2278972
irctk-1.1.0-r0.apk 25-Oct-2024 19:09 30000
irctk-doc-1.1.0-r0.apk 25-Oct-2024 19:09 16695
irctk-transport-fossil-1.1.0-r0.apk 25-Oct-2024 19:09 15617
isoinfo-0_git20131217-r1.apk 25-Oct-2024 19:09 6951
isomd5sum-1.2.3-r3.apk 25-Oct-2024 19:09 31007
isomd5sum-doc-1.2.3-r3.apk 25-Oct-2024 19:09 3045
it87-src-1_p20240609-r0.apk 25-Oct-2024 19:09 30406
itd-1.1.0-r10.apk 12-Feb-2025 17:33 9041779
iwasm-2.2.0-r0.apk 05-Dec-2024 16:06 1612
iwasm-gc-2.2.0-r0.apk 05-Dec-2024 16:06 198121
jack_capture-0.9.73_git20210429-r2.apk 25-Oct-2024 19:09 34806
jackal-0.64.0-r12.apk 12-Feb-2025 17:33 11284371
jackal-openrc-0.64.0-r12.apk 12-Feb-2025 17:33 1818
jackdaw-0.3.1-r1.apk 25-Oct-2024 19:09 2081390
jackdaw-pyc-0.3.1-r1.apk 25-Oct-2024 19:09 379193
jackline-0.1.0-r3.apk 25-Oct-2024 19:09 5450067
jadx-1.5.1-r0.apk 16-Jan-2025 10:16 116222887
jadx-doc-1.5.1-r0.apk 16-Jan-2025 10:16 5595
jalv-1.6.8-r1.apk 25-Oct-2024 19:09 51788
jalv-doc-1.6.8-r1.apk 25-Oct-2024 19:09 3252
jalv-gtk-1.6.8-r1.apk 25-Oct-2024 19:09 34492
jami-qt-20230925-r0.apk 25-Oct-2024 19:09 14213720
jami-qt-doc-20230925-r0.apk 25-Oct-2024 19:09 2737
jami-qt-lang-20230925-r0.apk 25-Oct-2024 19:09 2409692
jaq-2.1.0-r0.apk 05-Feb-2025 22:09 604828
jaq-doc-2.1.0-r0.apk 05-Feb-2025 22:09 2229
java-asmtools-8.0.09-r0.apk 25-Oct-2024 19:09 587656
java-asmtools-doc-8.0.09-r0.apk 25-Oct-2024 19:09 7069
java-jtharness-6.0_p12-r0.apk 25-Oct-2024 19:09 4232011
java-jtharness-doc-6.0_p12-r0.apk 25-Oct-2024 19:09 11837
java-jtharness-examples-6.0_p12-r0.apk 25-Oct-2024 19:09 224127
java-jtreg-7.5_p1-r0.apk 27-Oct-2024 12:04 4825283
jbigkit-2.1-r2.apk 25-Oct-2024 19:09 67133
jbigkit-dev-2.1-r2.apk 25-Oct-2024 19:09 31376
jbigkit-doc-2.1-r2.apk 25-Oct-2024 19:09 7515
jdebp-redo-1.4-r1.apk 25-Oct-2024 19:09 94082
jdebp-redo-doc-1.4-r1.apk 25-Oct-2024 19:09 12409
jdupes-1.28.0-r0.apk 25-Oct-2024 19:09 28222
jdupes-doc-1.28.0-r0.apk 25-Oct-2024 19:09 9206
jedi-language-server-0.43.1-r0.apk 04-Jan-2025 01:41 26596
jedi-language-server-pyc-0.43.1-r0.apk 04-Jan-2025 01:41 38188
jfrog-cli-2.45.0-r9.apk 12-Feb-2025 17:33 9067127
jhead-3.08-r0.apk 25-Oct-2024 19:09 34476
jhead-doc-3.08-r0.apk 25-Oct-2024 19:09 8090
jotdown-0.4.0-r0.apk 25-Oct-2024 19:09 207589
jrsonnet-cli-0.4.2-r1.apk 25-Oct-2024 19:09 547298
jsmn-1.1.0-r2.apk 25-Oct-2024 19:09 4835
json2tsv-1.2-r0.apk 25-Oct-2024 19:09 7002
json2tsv-doc-1.2-r0.apk 25-Oct-2024 19:09 5372
json2tsv-jaq-1.2-r0.apk 25-Oct-2024 19:09 1950
json2tsv-jaq-doc-1.2-r0.apk 25-Oct-2024 19:09 2389
jsonnet-bundler-0.6.0-r2.apk 12-Feb-2025 17:33 3062751
jsonnet-language-server-0.15.0-r1.apk 12-Feb-2025 17:33 4184341
junit2html-31.0.2-r0.apk 25-Oct-2024 19:09 17132
junit2html-pyc-31.0.2-r0.apk 25-Oct-2024 19:09 24187
jwt-cli-6.2.0-r0.apk 14-Dec-2024 18:18 961183
k2-0_git20220807-r1.apk 25-Oct-2024 19:09 98952
k3sup-0.13.6-r2.apk 12-Feb-2025 17:33 2511012
k3sup-bash-completion-0.13.6-r2.apk 12-Feb-2025 17:33 5075
k3sup-fish-completion-0.13.6-r2.apk 12-Feb-2025 17:33 4310
k3sup-zsh-completion-0.13.6-r2.apk 12-Feb-2025 17:33 4028
kabmat-2.7.0-r0.apk 25-Oct-2024 19:09 58644
kabmat-doc-2.7.0-r0.apk 25-Oct-2024 19:09 3617
kalker-2.2.1-r0.apk 25-Oct-2024 19:09 631432
kanidm-1.5.0-r0.apk 17-Feb-2025 15:07 1244
kanidm-bash-completion-1.5.0-r0.apk 17-Feb-2025 15:07 23758
kanidm-clients-1.5.0-r0.apk 17-Feb-2025 15:07 3438743
kanidm-openrc-1.5.0-r0.apk 17-Feb-2025 15:07 1846
kanidm-server-1.5.0-r0.apk 17-Feb-2025 15:07 13371021
kanidm-unixd-clients-1.5.0-r0.apk 17-Feb-2025 15:07 8583282
kanidm-zsh-completion-1.5.0-r0.apk 17-Feb-2025 15:07 32765
kanister-tools-0.112.0-r2.apk 12-Feb-2025 17:33 58162408
kanister-tools-bash-completion-0.112.0-r2.apk 12-Feb-2025 17:33 5609
kanister-tools-fish-completion-0.112.0-r2.apk 12-Feb-2025 17:33 4920
kanister-tools-zsh-completion-0.112.0-r2.apk 12-Feb-2025 17:33 4406
kannel-1.5.0-r11.apk 25-Oct-2024 19:09 6507417
kannel-dev-1.5.0-r11.apk 25-Oct-2024 19:09 974797
kannel-doc-1.5.0-r11.apk 25-Oct-2024 19:09 6343
kapow-0.7.1-r10.apk 12-Feb-2025 17:33 3352420
katana-1.1.0-r6.apk 12-Feb-2025 17:33 12310495
katarakt-0.2-r1.apk 29-Jan-2025 19:49 88573
kbs2-0.7.2-r3.apk 25-Oct-2024 19:09 1016903
kbs2-bash-completion-0.7.2-r3.apk 25-Oct-2024 19:09 3185
kbs2-fish-completion-0.7.2-r3.apk 25-Oct-2024 19:09 3312
kbs2-zsh-completion-0.7.2-r3.apk 25-Oct-2024 19:09 4090
kdiskmark-3.1.4-r1.apk 25-Oct-2024 19:09 163322
kdiskmark-lang-3.1.4-r1.apk 25-Oct-2024 19:09 27321
keepassxc-browser-1.8.9-r0.apk 25-Oct-2024 19:09 897492
kerberoast-0.2.0-r1.apk 25-Oct-2024 19:09 9852
kerberoast-pyc-0.2.0-r1.apk 25-Oct-2024 19:09 15570
kew-3.0.3-r0.apk 01-Feb-2025 16:09 331970
kew-doc-3.0.3-r0.apk 01-Feb-2025 16:09 3507
keybase-client-6.2.8-r7.apk 12-Feb-2025 17:33 18220056
keydb-6.3.4-r0.apk 25-Oct-2024 19:09 1117031
keydb-benchmark-6.3.4-r0.apk 25-Oct-2024 19:09 443405
keydb-cli-6.3.4-r0.apk 25-Oct-2024 19:09 377588
keydb-openrc-6.3.4-r0.apk 25-Oct-2024 19:09 2681
keystone-0.9.2-r6.apk 25-Oct-2024 19:09 1507669
keystone-dev-0.9.2-r6.apk 25-Oct-2024 19:09 7479
keystone-python-0.9.2-r6.apk 25-Oct-2024 19:09 1698979
keystone-python-pyc-0.9.2-r6.apk 25-Oct-2024 19:09 9906
kfc-0.1.4-r0.apk 25-Oct-2024 19:09 59078
kgraphviewer-2.5.0-r0.apk 25-Oct-2024 19:09 1345024
kgraphviewer-dev-2.5.0-r0.apk 25-Oct-2024 19:09 6443
kgraphviewer-lang-2.5.0-r0.apk 25-Oct-2024 19:09 235962
khinsider-2.0.7-r17.apk 12-Feb-2025 17:33 3299366
khronos-4.0.1-r0.apk 25-Oct-2024 19:09 54423
khronos-lang-4.0.1-r0.apk 25-Oct-2024 19:09 26344
kimchi-3.0.0-r8.apk 12-Feb-2025 17:33 541593
kimchi-lang-3.0.0-r8.apk 12-Feb-2025 17:33 176030
kimchi-pyc-3.0.0-r8.apk 12-Feb-2025 17:33 487506
kine-0.10.1-r10.apk 12-Feb-2025 17:33 7643673
kine-doc-0.10.1-r10.apk 12-Feb-2025 17:33 5209
kirc-0.3.3-r0.apk 22-Feb-2025 16:07 15509
kirc-doc-0.3.3-r0.apk 22-Feb-2025 16:07 2844
kismet-0.202307.1-r4.apk 11-Nov-2024 18:08 12343767
kismet-linux-bluetooth-0.202307.1-r4.apk 11-Nov-2024 18:08 44090
kismet-linux-wifi-0.202307.1-r4.apk 11-Nov-2024 18:08 63384
kismet-logtools-0.202307.1-r4.apk 11-Nov-2024 18:08 1087637
kismet-nrf-51822-0.202307.1-r4.apk 11-Nov-2024 18:08 40585
kismet-nxp-kw41z-0.202307.1-r4.apk 11-Nov-2024 18:08 42363
kjv-0_git20221103-r0.apk 25-Oct-2024 19:09 1615559
klevernotes-1.1.0-r0.apk 25-Oct-2024 19:09 2684040
klevernotes-lang-1.1.0-r0.apk 25-Oct-2024 19:09 145474
klfc-1.5.7-r0.apk 25-Oct-2024 19:09 10870959
klfc-doc-1.5.7-r0.apk 25-Oct-2024 19:09 410034
kmscon-9.0.0-r0.apk 25-Oct-2024 19:09 819498
kmscon-doc-9.0.0-r0.apk 25-Oct-2024 19:09 7925
knative-client-1.17.0-r1.apk 12-Feb-2025 17:33 22043848
knative-client-bash-completion-1.17.0-r1.apk 12-Feb-2025 17:33 10335
knative-client-fish-completion-1.17.0-r1.apk 12-Feb-2025 17:33 4343
knative-client-zsh-completion-1.17.0-r1.apk 12-Feb-2025 17:33 4069
knxd-0.14.61-r1.apk 14-Dec-2024 19:38 411923
knxd-dev-0.14.61-r1.apk 14-Dec-2024 19:38 25588
ko-0.17.1-r2.apk 12-Feb-2025 17:33 10040487
ko-bash-completion-0.17.1-r2.apk 12-Feb-2025 17:33 5103
ko-fish-completion-0.17.1-r2.apk 12-Feb-2025 17:33 4331
ko-zsh-completion-0.17.1-r2.apk 12-Feb-2025 17:33 4051
kodaskanna-0.2.2-r0.apk 26-Jan-2025 06:27 54606
kodaskanna-lang-0.2.2-r0.apk 26-Jan-2025 06:27 23752
kodi-audioencoder-flac-20.2.0-r1.apk 25-Oct-2024 19:09 45011
kodi-audioencoder-lame-20.3.0-r1.apk 25-Oct-2024 19:09 95440
kodi-audioencoder-vorbis-20.2.0-r1.apk 25-Oct-2024 19:09 36413
kodi-audioencoder-wav-20.2.0-r1.apk 25-Oct-2024 19:09 28439
kodi-game-libretro-20.1.0-r0.apk 25-Oct-2024 19:09 123245
kodi-game-libretro-atari800-3.1.0.28-r0.apk 25-Oct-2024 19:09 246506
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk 25-Oct-2024 19:09 15810
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk 25-Oct-2024 19:09 15152
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk 25-Oct-2024 19:09 17139
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0..> 25-Oct-2024 19:09 21429
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk 25-Oct-2024 19:09 55121
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk 25-Oct-2024 19:09 65568
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk 25-Oct-2024 19:09 20192
kodi-game-libretro-beetle-supergrafx-1.29.0.39-..> 25-Oct-2024 19:09 20343
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk 25-Oct-2024 19:09 16825
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk 25-Oct-2024 19:09 17920
kodi-game-libretro-desmume-0.0.1.28-r0.apk 25-Oct-2024 19:09 65118
kodi-game-libretro-dosbox-0.74.0.25-r0.apk 25-Oct-2024 19:09 75559
kodi-game-libretro-fbneo-1.0.0.71-r0.apk 25-Oct-2024 19:09 184373
kodi-game-libretro-frodo-0.0.1.25-r0.apk 25-Oct-2024 19:09 164299
kodi-game-libretro-mame2003-0.78.0.54-r0.apk 25-Oct-2024 19:09 1394381
kodi-game-libretro-mgba-0.11.0.44-r0.apk 25-Oct-2024 19:09 19904
kodi-game-libretro-nestopia-1.52.0.41-r0.apk 25-Oct-2024 19:09 23278
kodi-game-libretro-snes9x-1.62.3.45-r0.apk 25-Oct-2024 19:09 26605
kodi-game-libretro-theodore-0.0.1.32-r0.apk 25-Oct-2024 19:09 16428
kodi-inputstream-adaptive-21.4.10-r0.apk 25-Oct-2024 19:09 1058454
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk 25-Oct-2024 19:09 346911
kodi-inputstream-rtmp-20.3.0-r1.apk 25-Oct-2024 19:09 91385
kodi-peripheral-joystick-20.1.9-r0.apk 25-Oct-2024 19:09 222611
kodi-pvr-hts-20.6.2-r1.apk 25-Oct-2024 19:09 314014
kodi-pvr-iptvsimple-20.11.0-r0.apk 25-Oct-2024 19:09 930954
kodi-vfs-libarchive-20.3.0-r1.apk 25-Oct-2024 19:09 114787
kodi-vfs-rar-20.1.0-r1.apk 25-Oct-2024 19:09 418458
kodi-vfs-sacd-20.1.0-r1.apk 25-Oct-2024 19:09 99729
kodi-vfs-sftp-20.2.0-r1.apk 25-Oct-2024 19:09 56342
komikku-1.69.0-r0.apk 12-Feb-2025 17:33 1059266
komikku-lang-1.69.0-r0.apk 12-Feb-2025 17:33 266492
komikku-pyc-1.69.0-r0.apk 12-Feb-2025 17:33 754670
kompose-1.31.2-r7.apk 12-Feb-2025 17:33 6862048
kompose-bash-completion-1.31.2-r7.apk 12-Feb-2025 17:33 5667
kompose-fish-completion-1.31.2-r7.apk 12-Feb-2025 17:33 4410
kompose-zsh-completion-1.31.2-r7.apk 12-Feb-2025 17:33 6876
kondo-0.8-r0.apk 25-Oct-2024 19:09 667297
kondo-bash-completion-0.8-r0.apk 25-Oct-2024 19:09 2151
kondo-fish-completion-0.8-r0.apk 25-Oct-2024 19:09 2146
kondo-zsh-completion-0.8-r0.apk 25-Oct-2024 19:09 2514
kopia-0.19.0-r1.apk 12-Feb-2025 17:33 16428516
kopia-bash-completion-0.19.0-r1.apk 12-Feb-2025 17:33 1741
kopia-zsh-completion-0.19.0-r1.apk 12-Feb-2025 17:33 1765
krita-plugin-gmic-3.2.4.1-r3.apk 25-Oct-2024 19:09 2847808
ktx-4.3.2-r0.apk 25-Oct-2024 19:09 1217509
ktx-dev-4.3.2-r0.apk 25-Oct-2024 19:09 29889
ktx-libs-4.3.2-r0.apk 25-Oct-2024 19:09 1350918
kube-no-trouble-0.7.3-r2.apk 12-Feb-2025 17:33 13050217
kubeconform-0.6.6-r4.apk 12-Feb-2025 17:33 3206360
kubectl-krew-0.4.4-r9.apk 12-Feb-2025 17:33 4349239
kubectl-oidc_login-1.32.2-r1.apk 12-Feb-2025 17:33 5276759
kubeone-1.9.2-r1.apk 12-Feb-2025 17:33 25288479
kubeone-bash-completion-1.9.2-r1.apk 12-Feb-2025 17:33 6781
kubeone-doc-1.9.2-r1.apk 12-Feb-2025 17:33 20593
kubeone-zsh-completion-1.9.2-r1.apk 12-Feb-2025 17:33 4074
kubepug-1.7.1-r7.apk 12-Feb-2025 17:33 15496405
kubepug-bash-completion-1.7.1-r7.apk 12-Feb-2025 17:33 5181
kubepug-fish-completion-1.7.1-r7.apk 12-Feb-2025 17:33 4371
kubepug-zsh-completion-1.7.1-r7.apk 12-Feb-2025 17:33 4080
kubeseal-0.28.0-r0.apk 17-Feb-2025 15:07 10649010
kubeseal-doc-0.28.0-r0.apk 17-Feb-2025 15:07 5598
kubesplit-0.3.3-r1.apk 25-Oct-2024 19:09 12975
kubesplit-pyc-0.3.3-r1.apk 25-Oct-2024 19:09 13270
kuma-cp-2.9.3-r1.apk 12-Feb-2025 17:33 26624571
kuma-cp-openrc-2.9.3-r1.apk 12-Feb-2025 17:33 2138
kuma-dp-2.9.3-r1.apk 12-Feb-2025 17:33 21246032
kuma-dp-openrc-2.9.3-r1.apk 12-Feb-2025 17:33 2122
kumactl-2.9.3-r1.apk 12-Feb-2025 17:33 23798655
kumactl-bash-completion-2.9.3-r1.apk 12-Feb-2025 17:33 10453
kumactl-fish-completion-2.9.3-r1.apk 12-Feb-2025 17:33 4363
kumactl-zsh-completion-2.9.3-r1.apk 12-Feb-2025 17:33 4071
kuroko-1.4.0-r0.apk 28-Feb-2025 20:33 2097689
kuroko-dev-1.4.0-r0.apk 28-Feb-2025 20:33 528010
laminar-1.3-r6.apk 17-Feb-2025 15:07 300710
laminar-bash-completion-1.3-r6.apk 17-Feb-2025 15:07 1888
laminar-doc-1.3-r6.apk 17-Feb-2025 15:07 3753
laminar-openrc-1.3-r6.apk 17-Feb-2025 15:07 1853
laminar-zsh-completion-1.3-r6.apk 17-Feb-2025 15:07 1830
latex-clean-fig-0.1.0-r0.apk 04-Feb-2025 14:32 5517
latex-clean-fig-pyc-0.1.0-r0.apk 04-Feb-2025 14:32 4247
laze-0.1.31-r0.apk 22-Feb-2025 15:37 1008398
laze-bash-completion-0.1.31-r0.apk 22-Feb-2025 15:37 3141
laze-doc-0.1.31-r0.apk 22-Feb-2025 15:37 3622
laze-fish-completion-0.1.31-r0.apk 22-Feb-2025 15:37 3457
laze-zsh-completion-0.1.31-r0.apk 22-Feb-2025 15:37 3639
lazymc-0.2.11-r0.apk 25-Oct-2024 19:09 1097531
lazymc-openrc-0.2.11-r0.apk 25-Oct-2024 19:09 2070
lcalc-2.0.5-r2.apk 25-Oct-2024 19:09 181160
lcalc-dev-2.0.5-r2.apk 25-Oct-2024 19:09 58492
lcalc-doc-2.0.5-r2.apk 25-Oct-2024 19:09 447648
lcalc-libs-2.0.5-r2.apk 25-Oct-2024 19:09 222831
ldapdomaindump-0.9.4-r1.apk 25-Oct-2024 19:09 18761
ldapdomaindump-pyc-0.9.4-r1.apk 25-Oct-2024 19:09 31438
ledmon-1.0.0-r0.apk 25-Oct-2024 19:09 83073
ledmon-doc-1.0.0-r0.apk 25-Oct-2024 19:09 14414
lefthook-1.8.5-r2.apk 12-Feb-2025 17:33 3999716
lefthook-doc-1.8.5-r2.apk 12-Feb-2025 17:33 2237
legume-1.4.2-r6.apk 12-Feb-2025 17:33 1428331
legume-doc-1.4.2-r6.apk 12-Feb-2025 17:33 12553
lem-2.2.0-r0.apk 25-Oct-2024 19:09 24622463
lem-common-2.2.0-r0.apk 25-Oct-2024 19:09 10970
lem-gui-2.2.0-r0.apk 25-Oct-2024 19:09 26969607
lemmy-0.19.8-r0.apk 25-Dec-2024 03:30 12311785
lemmy-localdb-0.19.8-r0.apk 25-Dec-2024 03:30 2420
lemmy-openrc-0.19.8-r0.apk 25-Dec-2024 03:30 1875
lemmy-ui-0.19.8-r0.apk 25-Dec-2024 03:30 56702105
lemmy-ui-openrc-0.19.8-r0.apk 25-Dec-2024 03:30 2135
leptosfmt-0.1.18-r0.apk 25-Oct-2024 19:09 855139
leptosfmt-doc-0.1.18-r0.apk 25-Oct-2024 19:09 6317
levmar-dev-2.6-r0.apk 25-Oct-2024 19:09 49499
lfm-3.1-r4.apk 25-Oct-2024 19:09 90244
lfm-doc-3.1-r4.apk 25-Oct-2024 19:09 2832
lfm-pyc-3.1-r4.apk 25-Oct-2024 19:09 136926
lgogdownloader-3.16-r0.apk 25-Dec-2024 18:57 360228
lgogdownloader-doc-3.16-r0.apk 25-Dec-2024 18:57 8715
libabigail-2.3-r0.apk 25-Oct-2024 19:09 823924
libabigail-bash-completion-2.3-r0.apk 25-Oct-2024 19:09 3034
libabigail-dev-2.3-r0.apk 25-Oct-2024 19:09 1335989
libabigail-doc-2.3-r0.apk 25-Oct-2024 19:09 62710
libabigail-tools-2.3-r0.apk 25-Oct-2024 19:09 107558
libandroidfw-0_git20250115-r0.apk 16-Jan-2025 08:11 165911
libandroidfw-dev-0_git20250115-r0.apk 16-Jan-2025 08:11 7301
libantic-0.2.5-r0.apk 25-Oct-2024 19:09 44351
libantic-dev-0.2.5-r0.apk 25-Oct-2024 19:09 6500
libantlr3c-3.4-r3.apk 25-Oct-2024 19:09 50637
libantlr3c-dev-3.4-r3.apk 25-Oct-2024 19:09 59772
libantlr4-4.13.2-r0.apk 12-Dec-2024 11:12 437034
libantlr4-dev-4.13.2-r0.apk 12-Dec-2024 11:12 946765
libapk3-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 126673
libarb-2.23.0-r2.apk 25-Oct-2024 19:09 1554231
libarb-dev-2.23.0-r2.apk 25-Oct-2024 19:09 55178
libarb-static-2.23.0-r2.apk 25-Oct-2024 19:09 2116634
libaudec-0.3.4-r3.apk 25-Oct-2024 19:09 28344
libaudec-dev-0.3.4-r3.apk 25-Oct-2024 19:09 4381
libaudec-static-0.3.4-r3.apk 25-Oct-2024 19:09 31305
libaudec-tools-0.3.4-r3.apk 25-Oct-2024 19:09 28466
libb64-2.0.0.1-r0.apk 25-Oct-2024 19:09 4584
libb64-dev-2.0.0.1-r0.apk 25-Oct-2024 19:09 5672
libb64-doc-2.0.0.1-r0.apk 25-Oct-2024 19:09 8227
libbamf-0.5.6-r1.apk 25-Oct-2024 19:09 156312
libbamf-dev-0.5.6-r1.apk 25-Oct-2024 19:09 6566
libbamf-doc-0.5.6-r1.apk 25-Oct-2024 19:09 31823
libblastrampoline-5.2.0-r0.apk 25-Oct-2024 19:09 336636
libblastrampoline-dev-5.2.0-r0.apk 25-Oct-2024 19:09 96662
libbloom-2.0-r0.apk 25-Oct-2024 19:09 7864
libbloom-dev-2.0-r0.apk 25-Oct-2024 19:09 3560
libbraiding-1.3.1-r0.apk 20-Nov-2024 00:45 92228
libbraiding-dev-1.3.1-r0.apk 20-Nov-2024 00:45 15430
libbsoncxx-3.8.0-r0.apk 25-Oct-2024 19:09 45074
libbsoncxx-dev-3.8.0-r0.apk 25-Oct-2024 19:09 39603
libcec-rpi-6.0.2-r4.apk 25-Oct-2024 19:09 188723
libcec-rpi-dev-6.0.2-r4.apk 25-Oct-2024 19:09 25183
libcli-1.10.7-r0.apk 25-Oct-2024 19:09 29692
libcork-0.15.0-r7.apk 25-Oct-2024 19:09 36452
libcork-dev-0.15.0-r7.apk 25-Oct-2024 19:09 30703
libcork-tools-0.15.0-r7.apk 25-Oct-2024 19:09 4965
libcorkipset-1.1.1-r4.apk 25-Oct-2024 19:09 14000
libcorkipset-dev-1.1.1-r4.apk 25-Oct-2024 19:09 8286
libcorkipset-tools-1.1.1-r4.apk 25-Oct-2024 19:09 16073
libcotp-3.1.0-r0.apk 25-Oct-2024 19:09 8794
libcotp-dev-3.1.0-r0.apk 25-Oct-2024 19:09 2525
libctl-4.5.1-r1.apk 25-Oct-2024 19:09 93633
libctl-dev-4.5.1-r1.apk 25-Oct-2024 19:09 39478
libctl-doc-4.5.1-r1.apk 25-Oct-2024 19:09 3087
libcyaml-1.4.2-r0.apk 25-Oct-2024 19:09 20795
libcyaml-dev-1.4.2-r0.apk 25-Oct-2024 19:09 13163
libcyaml-doc-1.4.2-r0.apk 25-Oct-2024 19:09 8853
libcyaml-static-1.4.2-r0.apk 25-Oct-2024 19:09 23770
libdcmtk-3.6.9-r0.apk 26-Jan-2025 06:27 6479244
libdng-0.2.1-r0.apk 27-Dec-2024 22:09 11601
libdng-dev-0.2.1-r0.apk 27-Dec-2024 22:09 3272
libdng-doc-0.2.1-r0.apk 27-Dec-2024 22:09 4300
libdng-utils-0.2.1-r0.apk 27-Dec-2024 22:09 6371
libeantic-2.0.2-r1.apk 25-Oct-2024 19:09 75054
libeantic-dev-2.0.2-r1.apk 25-Oct-2024 19:09 17973
libecap-1.0.1-r1.apk 25-Oct-2024 19:09 13605
libecap-dev-1.0.1-r1.apk 25-Oct-2024 19:09 11700
libecap-static-1.0.1-r1.apk 25-Oct-2024 19:09 17936
libecm-7.0.5-r1.apk 25-Oct-2024 19:09 220182
libemf2svg-1.1.0-r2.apk 25-Oct-2024 19:09 157942
libemf2svg-utils-1.1.0-r2.apk 25-Oct-2024 19:09 19029
liberasurecode-1.6.3-r1.apk 25-Oct-2024 19:09 43625
liberasurecode-dev-1.6.3-r1.apk 25-Oct-2024 19:09 18705
libettercap-0.8.3.1-r3.apk 25-Oct-2024 19:09 201358
libfishsound-1.0.0-r1.apk 25-Oct-2024 19:09 9747
libfishsound-dev-1.0.0-r1.apk 25-Oct-2024 19:09 59339
libfishsound-doc-1.0.0-r1.apk 25-Oct-2024 19:09 77124
libfoma-0.10.0_git20240712-r0.apk 25-Oct-2024 19:09 105048
libfort-0.4.2-r0.apk 25-Oct-2024 19:09 32295
libfort-dev-0.4.2-r0.apk 25-Oct-2024 19:09 17845
libfyaml-0.9-r0.apk 25-Oct-2024 19:09 275975
libfyaml-dev-0.9-r0.apk 25-Oct-2024 19:09 43371
libfyaml-doc-0.9-r0.apk 25-Oct-2024 19:09 7600
libgivaro-4.2.0-r2.apk 25-Oct-2024 19:09 77513
libgivaro-dev-4.2.0-r2.apk 25-Oct-2024 19:09 250309
libgivaro-static-4.2.0-r2.apk 25-Oct-2024 19:09 98295
libgrapheme-1-r0.apk 25-Oct-2024 19:09 9845
libgrapheme-dev-1-r0.apk 25-Oct-2024 19:09 10137
libgrapheme-doc-1-r0.apk 25-Oct-2024 19:09 8156
libguestfs-1.52.0-r1.apk 25-Oct-2024 19:09 327410
libguestfs-dev-1.52.0-r1.apk 25-Oct-2024 19:09 30013
libguestfs-doc-1.52.0-r1.apk 25-Oct-2024 19:09 573260
libguestfs-static-1.52.0-r1.apk 25-Oct-2024 19:09 520791
libhomfly-1.02_p6-r1.apk 25-Oct-2024 19:09 15671
libhomfly-dev-1.02_p6-r1.apk 25-Oct-2024 19:09 19378
libhwpwm-0.4.4-r0.apk 25-Oct-2024 19:09 5774
libhwpwm-dev-0.4.4-r0.apk 25-Oct-2024 19:09 5814
libhwpwm-doc-0.4.4-r0.apk 25-Oct-2024 19:09 13358
libideviceactivation-1.1.1-r5.apk 30-Oct-2024 22:44 18372
libideviceactivation-dev-1.1.1-r5.apk 30-Oct-2024 22:44 3391
libideviceactivation-doc-1.1.1-r5.apk 30-Oct-2024 22:44 2229
libigraph-0.10.15-r0.apk 20-Nov-2024 00:45 1210449
libigraph-dev-0.10.15-r0.apk 20-Nov-2024 00:45 92624
libiio-0.25-r2.apk 25-Oct-2024 19:09 54333
libiio-dev-0.25-r2.apk 25-Oct-2024 19:09 13671
libiio-doc-0.25-r2.apk 25-Oct-2024 19:09 18288
libiio-pyc-0.25-r2.apk 25-Oct-2024 19:09 21455
libiio-tools-0.25-r2.apk 25-Oct-2024 19:09 99433
libiml-1.0.5-r3.apk 25-Oct-2024 19:09 68314
libiml-dev-1.0.5-r3.apk 25-Oct-2024 19:09 4038
libiml-static-1.0.5-r3.apk 25-Oct-2024 19:09 72656
libirecovery-1.2.1-r0.apk 30-Oct-2024 22:44 23974
libirecovery-dev-1.2.1-r0.apk 30-Oct-2024 22:44 4183
libirecovery-progs-1.2.1-r0.apk 30-Oct-2024 22:44 8688
libiscsi-1.19.0-r2.apk 25-Oct-2024 19:09 60580
libiscsi-dev-1.19.0-r2.apk 25-Oct-2024 19:09 20896
libiscsi-doc-1.19.0-r2.apk 25-Oct-2024 19:09 9501
libiscsi-static-1.19.0-r2.apk 25-Oct-2024 19:09 75313
libiscsi-utils-1.19.0-r2.apk 25-Oct-2024 19:09 93261
libjodycode-3.1.1-r0.apk 25-Oct-2024 19:09 8303
libjodycode-dev-3.1.1-r0.apk 25-Oct-2024 19:09 4314
libjodycode-doc-3.1.1-r0.apk 25-Oct-2024 19:09 3751
liblastfm-qt-1.1.10_git20190823-r3.apk 25-Oct-2024 19:09 163888
liblastfm-qt-dev-1.1.10_git20190823-r3.apk 25-Oct-2024 19:09 20088
libm4ri-20240729-r2.apk 15-Jan-2025 18:06 125708
libm4ri-dev-20240729-r2.apk 15-Jan-2025 18:06 32266
libm4ri-static-20240729-r2.apk 15-Jan-2025 18:06 138567
libm4rie-20200125-r5.apk 15-Jan-2025 18:06 175705
libm4rie-dev-20200125-r5.apk 15-Jan-2025 18:06 24978
libm4rie-static-20200125-r5.apk 15-Jan-2025 18:06 193520
libmanticore-columnar-2.3.0-r0.apk 16-Jan-2025 10:12 1627198
libmdbx-0.11.8-r0.apk 25-Oct-2024 19:09 700029
libmdbx-dbg-0.11.8-r0.apk 25-Oct-2024 19:09 2479226
libmdbx-dev-0.11.8-r0.apk 25-Oct-2024 19:09 95429
libmdbx-doc-0.11.8-r0.apk 25-Oct-2024 19:09 9062
libmdf-1.0.29-r0.apk 25-Oct-2024 19:09 35602
libmdf-dev-1.0.29-r0.apk 25-Oct-2024 19:09 14147
libmedc-4.1.1-r4.apk 25-Oct-2024 19:09 581996
libmedc-dev-4.1.1-r4.apk 25-Oct-2024 19:09 38410
libmedc-doc-4.1.1-r4.apk 25-Oct-2024 19:09 42498590
libmedc-python-4.1.1-r4.apk 25-Oct-2024 19:09 1829787
libmedc-python-pyc-4.1.1-r4.apk 25-Oct-2024 19:09 486848
libmhash-0.9.9.9-r3.apk 25-Oct-2024 19:09 98618
libmhash-dev-0.9.9.9-r3.apk 25-Oct-2024 19:09 111399
libmhash-doc-0.9.9.9-r3.apk 25-Oct-2024 19:09 8343
libmpfi-1.5.4-r2.apk 25-Oct-2024 19:09 36986
libmpfi-dev-1.5.4-r2.apk 25-Oct-2024 19:09 5510
libmpfi-doc-1.5.4-r2.apk 25-Oct-2024 19:09 19191
libmpfi-static-1.5.4-r2.apk 25-Oct-2024 19:09 54329
libmrss-0.19.2-r1.apk 25-Oct-2024 19:09 20108
libmrss-dev-0.19.2-r1.apk 25-Oct-2024 19:09 31175
libmustache-0.5.0-r1.apk 25-Oct-2024 19:09 83387
libmygpo-qt-1.1.0-r2.apk 25-Oct-2024 19:09 85680
libmygpo-qt-dev-1.1.0-r2.apk 25-Oct-2024 19:09 12141
libmysofa-1.3.2-r0.apk 25-Oct-2024 19:09 26076
libmysofa-dev-1.3.2-r0.apk 25-Oct-2024 19:09 7182
libmysofa-tools-1.3.2-r0.apk 25-Oct-2024 19:09 1122248
libnbcompat-1.0.2-r0.apk 25-Oct-2024 19:09 35142
libnbcompat-dev-1.0.2-r0.apk 25-Oct-2024 19:09 69443
libneo4j-client-2.2.0-r3.apk 25-Oct-2024 19:09 71206
libneo4j-client-dev-2.2.0-r3.apk 25-Oct-2024 19:09 120160
libnest2d-0.4-r7.apk 06-Feb-2025 04:44 1246
libnest2d-dev-0.4-r7.apk 06-Feb-2025 04:44 71551
libnfc-1.8.0-r1.apk 25-Oct-2024 19:09 58952
libnfc-dev-1.8.0-r1.apk 25-Oct-2024 19:09 8077
libnfc-doc-1.8.0-r1.apk 25-Oct-2024 19:09 22503
libnfc-tools-1.8.0-r1.apk 25-Oct-2024 19:09 93270
libnih-1.0.3-r7.apk 25-Oct-2024 19:09 113033
libnih-dev-1.0.3-r7.apk 25-Oct-2024 19:09 124187
libnih-doc-1.0.3-r7.apk 25-Oct-2024 19:09 2770
libntl-11.5.1-r4.apk 25-Oct-2024 19:09 1103534
libntl-dev-11.5.1-r4.apk 25-Oct-2024 19:09 162843
libntl-doc-11.5.1-r4.apk 25-Oct-2024 19:09 382541
libntl-static-11.5.1-r4.apk 25-Oct-2024 19:09 1723592
libnxml-0.18.3-r0.apk 25-Oct-2024 19:09 20395
libnxml-dev-0.18.3-r0.apk 25-Oct-2024 19:09 29289
libofx-0.10.9-r1.apk 25-Oct-2024 19:09 64518
libofx-dev-0.10.9-r1.apk 25-Oct-2024 19:09 20052
libofx-tools-0.10.9-r1.apk 25-Oct-2024 19:09 107072
liboggz-1.1.1-r2.apk 25-Oct-2024 19:09 121591
liboggz-dev-1.1.1-r2.apk 25-Oct-2024 19:09 177257
liboggz-doc-1.1.1-r2.apk 25-Oct-2024 19:09 137449
libopensles-standalone-0_git20240221-r0.apk 25-Oct-2024 19:09 39346
libopensles-standalone-dbg-0_git20240221-r0.apk 25-Oct-2024 19:09 250420
libopensles-standalone-dev-0_git20240221-r0.apk 25-Oct-2024 19:09 1559
libppl-1.2-r1.apk 25-Oct-2024 19:09 482092
libppl_c-1.2-r1.apk 25-Oct-2024 19:09 1334861
libqofono-0.124-r0.apk 10-Jan-2025 16:19 1246
libqofono-dev-0.124-r0.apk 10-Jan-2025 16:19 43105
libqofono-qt5-0.124-r0.apk 10-Jan-2025 16:19 292656
libqofono-qt6-0.124-r0.apk 10-Jan-2025 16:19 413624
libqtdbusmock-0.9.1-r2.apk 17-Feb-2025 15:07 69350
libqtdbustest-0.3.3-r1.apk 17-Feb-2025 15:07 34416
libraqm-0.10.2-r0.apk 25-Oct-2024 19:09 11545
libraqm-dev-0.10.2-r0.apk 25-Oct-2024 19:09 4643
libraqm-doc-0.10.2-r0.apk 25-Oct-2024 19:09 21630
libre-3.20.0-r0.apk 25-Feb-2025 12:18 304310
libre-dev-3.20.0-r0.apk 25-Feb-2025 12:18 498862
libreoffice-voikko-5.0_git20200127-r0.apk 25-Oct-2024 19:09 46459
librespot-0.6.0-r0.apk 02-Nov-2024 01:23 3207816
librespot-openrc-0.6.0-r0.apk 02-Nov-2024 01:23 1855
libretro-atari800-0_git20240924-r0.apk 25-Oct-2024 19:09 274625
libretro-beetle-pce-fast-0_git20220205-r0.apk 25-Oct-2024 19:09 455541
libretro-beetle-pcfx-0_git20220409-r0.apk 25-Oct-2024 19:09 322817
libretro-beetle-saturn-0_git20220417-r0.apk 25-Oct-2024 19:09 1512246
libretro-beetle-supergrafx-0_git20220218-r0.apk 25-Oct-2024 19:09 460325
libretro-bluemsx-0_git20240808-r0.apk 25-Oct-2024 19:09 648883
libretro-cannonball-0_git20220309-r6.apk 25-Oct-2024 19:09 244035
libretro-cap32-0_git20220419-r0.apk 25-Oct-2024 19:09 306457
libretro-crocods-0_git20210314-r1.apk 25-Oct-2024 19:09 285163
libretro-daphne-0_git20210108-r2.apk 25-Oct-2024 19:09 546314
libretro-dinothawr-0_git20220401-r0.apk 25-Oct-2024 19:09 128776
libretro-dolphin-0_git20220407-r2.apk 25-Oct-2024 19:09 3265907
libretro-fbneo-0_git20220416-r0.apk 25-Oct-2024 19:09 11801936
libretro-flycast-0_git20220406-r2.apk 28-Jan-2025 23:07 1705485
libretro-freeintv-0_git20220319-r0.apk 25-Oct-2024 19:09 36005
libretro-frodo-0_git20221221-r0.apk 25-Oct-2024 19:09 165719
libretro-fuse-0_git20220417-r0.apk 25-Oct-2024 19:09 911694
libretro-genesis-plus-gx-0_git20230503-r0.apk 25-Oct-2024 19:09 1072999
libretro-gme-0_git20240628-r0.apk 25-Oct-2024 19:09 190050
libretro-gong-0_git20220319-r0.apk 25-Oct-2024 19:09 8250
libretro-gw-0_git20220410-r0.apk 25-Oct-2024 19:09 191325
libretro-mame2003-0_git20240904-r0.apk 25-Oct-2024 19:09 6772493
libretro-mu-0_git20220317-r0.apk 25-Oct-2024 19:09 172088
libretro-neocd-0_git20220325-r1.apk 25-Oct-2024 19:09 434862
libretro-nxengine-0_git20220301-r0.apk 25-Oct-2024 19:09 305021
libretro-openlara-0_git20210121-r0.apk 25-Oct-2024 19:09 513579
libretro-opera-0_git20211214-r0.apk 25-Oct-2024 19:09 175789
libretro-parallel-n64-0_git20220406-r0.apk 25-Oct-2024 19:09 892983
libretro-pcsx-rearmed-0_git20220409-r0.apk 25-Oct-2024 19:09 502076
libretro-picodrive-0_git20220405-r0.apk 25-Oct-2024 19:09 558278
libretro-pocketcdg-0_git20220327-r0.apk 25-Oct-2024 19:09 88455
libretro-ppsspp-0_git20210516-r14.apk 25-Oct-2024 19:09 2423515
libretro-scummvm-0_git20210325-r0.apk 25-Oct-2024 19:09 20583260
libretro-snes9x-0_git20240819-r0.apk 25-Oct-2024 19:09 654061
libretro-theodore-3.1-r0.apk 25-Oct-2024 19:09 893460
libretro-tyrquake-0_git20220409-r0.apk 25-Oct-2024 19:09 404336
libretro-xrick-0_git20220331-r0.apk 25-Oct-2024 19:09 122000
libsbsms-2.3.0-r0.apk 25-Oct-2024 19:09 105121
libsbsms-dev-2.3.0-r0.apk 25-Oct-2024 19:09 127139
libsds-2.0.0-r1.apk 25-Oct-2024 19:09 9832
libsds-dev-2.0.0-r1.apk 25-Oct-2024 19:09 3866
libsemanage-3.6-r1.apk 25-Oct-2024 19:09 94944
libsemanage-dev-3.6-r1.apk 25-Oct-2024 19:09 153220
libsemanage-doc-3.6-r1.apk 25-Oct-2024 19:09 23464
libsemigroups-2.7.3-r1.apk 14-Dec-2024 19:38 723657
libsemigroups-dev-2.7.3-r1.apk 14-Dec-2024 19:38 342533
libsemigroups-static-2.7.3-r1.apk 14-Dec-2024 19:38 1583498
libserialport-0.1.1-r1.apk 25-Oct-2024 19:09 19312
libserialport-dev-0.1.1-r1.apk 25-Oct-2024 19:09 39945
libshadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 19:09 50315
libsigrok-0.5.2-r3.apk 25-Oct-2024 19:09 492749
libsigrok-dev-0.5.2-r3.apk 25-Oct-2024 19:09 31408
libsigrokdecode-0.5.3-r4.apk 25-Oct-2024 19:09 343601
libsigrokdecode-dev-0.5.3-r4.apk 25-Oct-2024 19:09 40083
libsimpleble-0.8.1-r0.apk 25-Feb-2025 22:24 180239
libsimpleble-c-0.8.1-r0.apk 25-Feb-2025 22:24 16173
libsimplebluez-0.8.1-r0.apk 25-Feb-2025 22:24 127328
libsirocco-2.1.0-r2.apk 25-Oct-2024 19:09 61475
libsirocco-dev-2.1.0-r2.apk 25-Oct-2024 19:09 1938
libspatialindex-0_git20210205-r1.apk 25-Oct-2024 19:09 307381
libspatialindex-dev-0_git20210205-r1.apk 25-Oct-2024 19:09 22530
libstirshaken-0_git20240208-r2.apk 25-Oct-2024 19:09 53915
libstirshaken-dev-0_git20240208-r2.apk 25-Oct-2024 19:09 84441
libstirshaken-tools-0_git20240208-r2.apk 25-Oct-2024 19:09 172886
libsymmetrica-3.0.1-r2.apk 25-Oct-2024 19:09 3834751
libsymmetrica-dev-3.0.1-r2.apk 25-Oct-2024 19:09 32828
libsymmetrica-static-3.0.1-r2.apk 25-Oct-2024 19:09 4897687
libtcmu-1.6.0-r6.apk 25-Oct-2024 19:09 38673
libtcmu-dev-1.6.0-r6.apk 25-Oct-2024 19:09 1547
libtins-4.5-r1.apk 25-Oct-2024 19:09 309860
libtins-dev-4.5-r1.apk 25-Oct-2024 19:09 144714
libtins-doc-4.5-r1.apk 25-Oct-2024 19:09 2372
libtinycbor-0.6.0-r1.apk 25-Oct-2024 19:09 18808
libtommath-1.2.1-r0.apk 25-Oct-2024 19:09 41498
libtommath-dev-1.2.1-r0.apk 25-Oct-2024 19:09 68214
libtsm-4.0.2-r1.apk 25-Oct-2024 19:09 26781
libtsm-dev-4.0.2-r1.apk 25-Oct-2024 19:09 9751
libucl-0.9.0-r0.apk 25-Oct-2024 19:09 55195
libucl-dev-0.9.0-r0.apk 25-Oct-2024 19:09 84669
libucl-doc-0.9.0-r0.apk 25-Oct-2024 19:09 9072
libuecc-7-r3.apk 25-Oct-2024 19:09 10104
libuecc-dev-7-r3.apk 25-Oct-2024 19:09 4866
libunicode-0.6.0-r1.apk 14-Dec-2024 19:38 539870
libunicode-dev-0.6.0-r1.apk 14-Dec-2024 19:38 52251
libunicode-doc-0.6.0-r1.apk 14-Dec-2024 19:38 5570
libunicode-tools-0.6.0-r1.apk 14-Dec-2024 19:38 27683
libuninameslist-20230916-r0.apk 25-Oct-2024 19:09 576025
libuninameslist-dev-20230916-r0.apk 25-Oct-2024 19:09 3546
libuninameslist-doc-20230916-r0.apk 25-Oct-2024 19:09 2089
libupstart-2.0.3-r5.apk 25-Oct-2024 19:09 53528
libvdpau-va-gl-0.4.2-r0.apk 25-Oct-2024 19:09 57111
libvisio2svg-0.5.5-r3.apk 25-Oct-2024 19:09 16082
libvisio2svg-dev-0.5.5-r3.apk 25-Oct-2024 19:09 2992
libvisio2svg-utils-0.5.5-r3.apk 25-Oct-2024 19:09 119989
libvmaf-3.0.0-r0.apk 25-Oct-2024 19:09 342091
libvmaf-dev-3.0.0-r0.apk 25-Oct-2024 19:09 202053
libvoikko-4.3.2-r1.apk 25-Oct-2024 19:09 132952
libvoikko-dev-4.3.2-r1.apk 25-Oct-2024 19:09 10146
libvoikko-doc-4.3.2-r1.apk 25-Oct-2024 19:09 5862
libwasmtime-28.0.1-r0.apk 26-Jan-2025 06:27 2447469
libwasmtime-static-28.0.1-r0.apk 26-Jan-2025 06:27 4592018
libwbxml-0.11.8-r0.apk 25-Oct-2024 19:09 75545
libwbxml-dev-0.11.8-r0.apk 25-Oct-2024 19:09 9174
libwbxml-doc-0.11.8-r0.apk 25-Oct-2024 19:09 28946
libwhich-1.2.0-r0.apk 25-Oct-2024 19:09 4578
libxml++-5.4.0-r0.apk 13-Feb-2025 07:45 65844
libxml++-dev-5.4.0-r0.apk 13-Feb-2025 07:45 30070
libxo-1.7.5-r0.apk 12-Jan-2025 22:45 190320
libxo-dev-1.7.5-r0.apk 12-Jan-2025 22:45 78354
libxo-doc-1.7.5-r0.apk 12-Jan-2025 22:45 64327
libzn_poly-0.9.2-r2.apk 25-Oct-2024 19:09 51090
libzn_poly-dev-0.9.2-r2.apk 25-Oct-2024 19:09 8364
libzn_poly-static-0.9.2-r2.apk 25-Oct-2024 19:09 55154
libzrtpcpp-4.7.0-r0.apk 04-Jan-2025 21:55 162286
libzrtpcpp-dev-4.7.0-r0.apk 04-Jan-2025 21:55 38763
libzvbi-0.2.43-r0.apk 07-Dec-2024 20:32 224294
libzvbi-dev-0.2.43-r0.apk 07-Dec-2024 20:32 14641
libzvbi-static-0.2.43-r0.apk 07-Dec-2024 20:32 295600
licenseheaders-0.8.8-r4.apk 25-Oct-2024 19:09 18318
licenseheaders-pyc-0.8.8-r4.apk 25-Oct-2024 19:09 18852
lidarr-2.9.6.4552-r0.apk 03-Feb-2025 23:41 21374442
lidarr-openrc-2.9.6.4552-r0.apk 03-Feb-2025 23:41 2030
lima-1.0.4-r1.apk 12-Feb-2025 17:33 10533247
lima-bash-completion-1.0.4-r1.apk 12-Feb-2025 17:33 5177
lima-doc-1.0.4-r1.apk 12-Feb-2025 17:33 52560
lima-fish-completion-1.0.4-r1.apk 12-Feb-2025 17:33 4361
lima-guestagent-1.0.4-r1.apk 12-Feb-2025 17:33 13035759
lima-zsh-completion-1.0.4-r1.apk 12-Feb-2025 17:33 4074
limkd-0.1.2-r0.apk 25-Oct-2024 19:09 55706
limkd-doc-0.1.2-r0.apk 25-Oct-2024 19:09 3033
limnoria-20240828-r0.apk 25-Oct-2024 19:09 1130321
limnoria-doc-20240828-r0.apk 25-Oct-2024 19:09 8603
limnoria-pyc-20240828-r0.apk 25-Oct-2024 19:09 1274959
linkquisition-1.6.1-r2.apk 12-Feb-2025 17:33 11944025
linphone-5.3.38-r0.apk 25-Oct-2024 19:09 9454558
linphone-dev-5.3.38-r0.apk 25-Oct-2024 19:09 255711
linphone-libs-5.3.38-r0.apk 25-Oct-2024 19:09 2920666
linux-apfs-rw-src-0.3.8-r0.apk 25-Oct-2024 19:09 201795
linux-asahi-6.12.10_p2-r0.apk 26-Jan-2025 06:27 20711918
linux-asahi-dev-6.12.10_p2-r0.apk 26-Jan-2025 06:27 22725918
linux-elm-6.10.6-r0.apk 25-Oct-2024 19:09 18765279
linux-gru-6.11.0-r0.apk 25-Oct-2024 19:09 27300397
linux-openvfd-0_git20220906-r0.apk 25-Oct-2024 19:09 9227
linux-timemachine-1.3.2-r0.apk 25-Oct-2024 19:09 5175
linuxkit-1.5.3-r2.apk 12-Feb-2025 17:33 12798287
linuxkit-doc-1.5.3-r2.apk 12-Feb-2025 17:33 10505
linuxptp-4.4-r0.apk 20-Nov-2024 00:45 1248
linuxptp-doc-4.4-r0.apk 20-Nov-2024 00:45 39364
linuxptp-hwstamp_ctl-4.4-r0.apk 20-Nov-2024 00:45 4784
linuxptp-nsm-4.4-r0.apk 20-Nov-2024 00:45 32852
linuxptp-phc2sys-4.4-r0.apk 20-Nov-2024 00:45 36920
linuxptp-phc_ctl-4.4-r0.apk 20-Nov-2024 00:45 10397
linuxptp-pmc-4.4-r0.apk 20-Nov-2024 00:45 35860
linuxptp-ptp4l-4.4-r0.apk 20-Nov-2024 00:45 80274
linuxptp-timemaster-4.4-r0.apk 20-Nov-2024 00:45 16811
linuxptp-ts2phc-4.4-r0.apk 20-Nov-2024 00:45 36307
linuxptp-tz2alt-4.4-r0.apk 20-Nov-2024 00:45 20332
linuxwave-0.1.5-r0.apk 25-Oct-2024 19:09 94264
linuxwave-doc-0.1.5-r0.apk 25-Oct-2024 19:09 3348
liquibase-4.9.1-r0.apk 25-Oct-2024 19:09 33161791
liquibase-doc-4.9.1-r0.apk 25-Oct-2024 19:09 58253
liquid-dsp-1.5.0-r0.apk 25-Oct-2024 19:09 353917
liquid-dsp-dev-1.5.0-r0.apk 25-Oct-2024 19:09 531002
listenbrainz-mpd-2.3.8-r0.apk 25-Oct-2024 19:09 1173477
listenbrainz-mpd-bash-completion-2.3.8-r0.apk 25-Oct-2024 19:09 2159
listenbrainz-mpd-doc-2.3.8-r0.apk 25-Oct-2024 19:09 14749
listenbrainz-mpd-fish-completion-2.3.8-r0.apk 25-Oct-2024 19:09 1855
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk 25-Oct-2024 19:09 2107
litehtml-0.9-r2.apk 17-Feb-2025 15:07 312103
litehtml-dev-0.9-r2.apk 17-Feb-2025 15:07 42649
litehtml-static-0.9-r2.apk 17-Feb-2025 15:07 521861
litterbox-1.9-r1.apk 25-Oct-2024 19:09 36577
litterbox-doc-1.9-r1.apk 25-Oct-2024 19:09 7422
lizardfs-3.13.0-r15.apk 17-Feb-2025 15:07 144832
lizardfs-bash-completion-3.13.0-r15.apk 17-Feb-2025 15:07 1873
lizardfs-cgi-3.13.0-r15.apk 17-Feb-2025 15:07 32158
lizardfs-cgiserv-3.13.0-r15.apk 17-Feb-2025 15:07 7485
lizardfs-cgiserv-openrc-3.13.0-r15.apk 17-Feb-2025 15:07 1963
lizardfs-chunkserver-3.13.0-r15.apk 17-Feb-2025 15:07 379180
lizardfs-chunkserver-openrc-3.13.0-r15.apk 17-Feb-2025 15:07 1641
lizardfs-client-3.13.0-r15.apk 17-Feb-2025 15:07 1352702
lizardfs-doc-3.13.0-r15.apk 17-Feb-2025 15:07 11660
lizardfs-master-3.13.0-r15.apk 17-Feb-2025 15:07 1010273
lizardfs-master-openrc-3.13.0-r15.apk 17-Feb-2025 15:07 1631
lizardfs-metalogger-3.13.0-r15.apk 17-Feb-2025 15:07 164153
lizardfs-metalogger-openrc-3.13.0-r15.apk 17-Feb-2025 15:07 1633
lkrg-0.9.6-r1.apk 09-Jan-2025 11:44 107242
lkrg-doc-0.9.6-r1.apk 09-Jan-2025 11:44 22086
llmnrd-0.7-r1.apk 25-Oct-2024 19:09 18780
llmnrd-doc-0.7-r1.apk 25-Oct-2024 19:09 3108
llmnrd-openrc-0.7-r1.apk 25-Oct-2024 19:09 1930
lockrun-1.1.3-r1.apk 25-Oct-2024 19:09 5640
log4cpp-1.1.4-r1.apk 25-Oct-2024 19:09 70661
log4cpp-dev-1.1.4-r1.apk 25-Oct-2024 19:09 39819
log4cxx-1.1.0-r3.apk 17-Feb-2025 15:07 519317
log4cxx-dev-1.1.0-r3.apk 17-Feb-2025 15:07 138444
logc-0.5.0-r0.apk 25-Oct-2024 19:09 8709
logc-argp-0.5.0-r0.apk 25-Oct-2024 19:09 16956
logc-config-0.5.0-r0.apk 25-Oct-2024 19:09 5490
logc-czmq-0.1.0-r0.apk 25-Oct-2024 19:09 4374
logc-dev-0.5.0-r0.apk 25-Oct-2024 19:09 9110
logc-libevent-0.1.0-r0.apk 25-Oct-2024 19:09 3657
logc-libs-0.1.0-r0.apk 25-Oct-2024 19:09 1487
logc-libs-dev-0.1.0-r0.apk 25-Oct-2024 19:09 5802
logisim-evolution-3.8.0-r0.apk 25-Oct-2024 19:09 25384514
logtop-0.7-r0.apk 25-Oct-2024 19:09 13624
logtop-doc-0.7-r0.apk 25-Oct-2024 19:09 2883
logtop-libs-0.7-r0.apk 25-Oct-2024 19:09 14720
logwatch-7.10-r1.apk 25-Oct-2024 19:09 495068
logwatch-doc-7.10-r1.apk 25-Oct-2024 19:09 39012
lol-html-1.1.1-r1.apk 25-Oct-2024 19:09 434797
lol-html-dev-1.1.1-r1.apk 25-Oct-2024 19:09 6671
lolcat-1.4-r0.apk 25-Oct-2024 19:09 10822
lomiri-0.3.0-r0.apk 25-Oct-2024 19:09 4145113
lomiri-action-api-1.1.3-r1.apk 25-Oct-2024 19:09 83336
lomiri-action-api-dev-1.1.3-r1.apk 25-Oct-2024 19:09 5497
lomiri-api-0.2.2-r1.apk 17-Feb-2025 15:07 33731
lomiri-api-dev-0.2.2-r1.apk 17-Feb-2025 15:07 32834
lomiri-app-launch-0.1.11-r1.apk 17-Feb-2025 15:07 352874
lomiri-app-launch-dev-0.1.11-r1.apk 17-Feb-2025 15:07 20465
lomiri-calculator-app-4.0.2-r0.apk 25-Oct-2024 19:09 383753
lomiri-calculator-app-lang-4.0.2-r0.apk 25-Oct-2024 19:09 37716
lomiri-clock-app-4.1.0-r0.apk 02-Dec-2024 11:45 235682
lomiri-clock-app-lang-4.1.0-r0.apk 02-Dec-2024 11:45 459834
lomiri-content-hub-2.0.0-r1.apk 17-Feb-2025 15:07 283028
lomiri-content-hub-dev-2.0.0-r1.apk 17-Feb-2025 15:07 11178
lomiri-content-hub-doc-2.0.0-r1.apk 17-Feb-2025 15:07 1443685
lomiri-content-hub-lang-2.0.0-r1.apk 17-Feb-2025 15:07 40104
lomiri-docviewer-app-3.0.4-r1.apk 29-Jan-2025 19:49 230110
lomiri-docviewer-app-doc-3.0.4-r1.apk 29-Jan-2025 19:49 2013
lomiri-docviewer-app-lang-3.0.4-r1.apk 29-Jan-2025 19:49 118664
lomiri-download-manager-0.1.3-r5.apk 17-Feb-2025 15:07 581119
lomiri-download-manager-dev-0.1.3-r5.apk 17-Feb-2025 15:07 17235
lomiri-download-manager-doc-0.1.3-r5.apk 17-Feb-2025 15:07 3568171
lomiri-download-manager-lang-0.1.3-r5.apk 17-Feb-2025 15:07 27642
lomiri-filemanager-app-1.0.4-r0.apk 25-Oct-2024 19:09 343501
lomiri-filemanager-app-lang-1.0.4-r0.apk 25-Oct-2024 19:09 178951
lomiri-gallery-app-3.0.2-r0.apk 25-Oct-2024 19:09 3894252
lomiri-gallery-app-lang-3.0.2-r0.apk 25-Oct-2024 19:09 111147
lomiri-history-service-0.6-r2.apk 07-Dec-2024 19:21 358368
lomiri-history-service-dev-0.6-r2.apk 07-Dec-2024 19:21 11327
lomiri-indicator-location-0_git20231227-r1.apk 17-Feb-2025 15:07 29107
lomiri-indicator-location-lang-0_git20231227-r1..> 17-Feb-2025 15:07 29686
lomiri-indicator-network-1.1.0-r1.apk 17-Feb-2025 15:07 600523
lomiri-indicator-network-dev-1.1.0-r1.apk 17-Feb-2025 15:07 9700
lomiri-indicator-network-doc-1.1.0-r1.apk 17-Feb-2025 15:07 2045
lomiri-indicator-network-lang-1.1.0-r1.apk 17-Feb-2025 15:07 194729
lomiri-lang-0.3.0-r0.apk 25-Oct-2024 19:09 259229
lomiri-libusermetrics-1.3.3-r1.apk 17-Feb-2025 15:07 174621
lomiri-libusermetrics-dev-1.3.3-r1.apk 17-Feb-2025 15:07 7985
lomiri-libusermetrics-doc-1.3.3-r1.apk 17-Feb-2025 15:07 233094
lomiri-libusermetrics-lang-1.3.3-r1.apk 17-Feb-2025 15:07 44215
lomiri-location-service-3.3.0-r1.apk 17-Feb-2025 15:07 2175860
lomiri-location-service-dev-3.3.0-r1.apk 17-Feb-2025 15:07 30496
lomiri-location-service-doc-3.3.0-r1.apk 17-Feb-2025 15:07 2884
lomiri-location-service-lang-3.3.0-r1.apk 17-Feb-2025 15:07 26286
lomiri-notifications-1.3.1-r0.apk 10-Jan-2025 10:08 98288
lomiri-schemas-0.1.7-r0.apk 26-Jan-2025 06:27 10862
lomiri-settings-components-1.1.2-r0.apk 10-Jan-2025 10:08 227550
lomiri-settings-components-lang-1.1.2-r0.apk 10-Jan-2025 10:08 100150
lomiri-sounds-22.02-r0.apk 25-Oct-2024 19:09 18839121
lomiri-system-settings-1.3.0-r0.apk 10-Jan-2025 10:08 1105647
lomiri-system-settings-lang-1.3.0-r0.apk 10-Jan-2025 10:08 846135
lomiri-telephony-service-0.6.0-r0.apk 26-Jan-2025 06:27 999015
lomiri-telephony-service-lang-0.6.0-r0.apk 26-Jan-2025 06:27 109862
lomiri-terminal-app-2.0.3-r0.apk 12-Nov-2024 17:18 64785
lomiri-terminal-app-doc-2.0.3-r0.apk 12-Nov-2024 17:18 2668
lomiri-terminal-app-lang-2.0.3-r0.apk 12-Nov-2024 17:18 63599
lomiri-thumbnailer-3.0.4-r2.apk 17-Feb-2025 15:07 223870
lomiri-thumbnailer-dev-3.0.4-r2.apk 17-Feb-2025 15:07 5167
lomiri-thumbnailer-doc-3.0.4-r2.apk 17-Feb-2025 15:07 1512
lomiri-trust-store-2.0.2-r8.apk 27-Feb-2025 21:26 970691
lomiri-trust-store-dev-2.0.2-r8.apk 27-Feb-2025 21:26 9288
lomiri-trust-store-lang-2.0.2-r8.apk 27-Feb-2025 21:26 28295
lomiri-ui-extras-0.6.3-r1.apk 25-Oct-2024 19:09 264620
lomiri-ui-extras-lang-0.6.3-r1.apk 25-Oct-2024 19:09 56589
lomiri-ui-toolkit-1.3.5110-r0.apk 10-Jan-2025 10:08 1348573
lomiri-ui-toolkit-dev-1.3.5110-r0.apk 10-Jan-2025 10:08 171744
lomiri-ui-toolkit-lang-1.3.5110-r0.apk 10-Jan-2025 10:08 98692
lomiri-url-dispatcher-0.1.3-r3.apk 17-Feb-2025 15:07 45178
lomiri-url-dispatcher-dev-0.1.3-r3.apk 17-Feb-2025 15:07 3332
lomiri-url-dispatcher-lang-0.1.3-r3.apk 17-Feb-2025 15:07 18288
lomiri-weather-app-5.13.5-r1.apk 25-Oct-2024 19:09 240913
lomiri-weather-app-lang-5.13.5-r1.apk 25-Oct-2024 19:09 294564
lotide-0.15.0-r0.apk 25-Oct-2024 19:09 3504975
lotide-openrc-0.15.0-r0.apk 25-Oct-2024 19:09 3194
lottieconverter-0.2_git20231219-r0.apk 25-Oct-2024 19:09 12665
lottieconverter-doc-0.2_git20231219-r0.apk 25-Oct-2024 19:09 2474
lout-3.42.2-r0.apk 25-Oct-2024 19:09 1458803
lout-doc-3.42.2-r0.apk 25-Oct-2024 19:09 463392
lowjs-1.6.2-r2.apk 25-Oct-2024 19:09 1404250
lowjs-doc-1.6.2-r2.apk 25-Oct-2024 19:09 3042
lrcalc-2.1-r1.apk 25-Oct-2024 19:09 13217
lrcalc-dev-2.1-r1.apk 25-Oct-2024 19:09 11608
lrcalc-libs-2.1-r1.apk 25-Oct-2024 19:09 27075
lsdvd-0.17-r0.apk 25-Oct-2024 19:09 13921
lsdvd-doc-0.17-r0.apk 25-Oct-2024 19:09 2526
lshell-0.9.18-r11.apk 25-Oct-2024 19:09 37329
lshell-doc-0.9.18-r11.apk 25-Oct-2024 19:09 25800
lshell-pyc-0.9.18-r11.apk 25-Oct-2024 19:09 36166
lsix-1.8.2-r0.apk 25-Oct-2024 19:09 6665
lsmash-2.14.5-r2.apk 25-Oct-2024 19:09 286179
lsmash-dev-2.14.5-r2.apk 25-Oct-2024 19:09 374403
lua-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:09 1225
lua-fn-0.1.0-r0.apk 25-Oct-2024 19:09 3460
lua-inet-0.2.0-r1.apk 25-Oct-2024 19:09 9345
lua-lanes-3.16.0-r1.apk 25-Oct-2024 19:09 1479
lua-lcurses-9.0.0-r0.apk 25-Oct-2024 19:09 1170
lua-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:09 1204
lua-libmodbus-doc-0.6.1-r0.apk 25-Oct-2024 19:09 19560
lua-linenoise-0.9-r1.apk 25-Oct-2024 19:09 1200
lua-luastatic-0.0.12-r1.apk 25-Oct-2024 19:09 1497
lua-lupa-1.0-r0.apk 25-Oct-2024 19:09 20039
lua-lut-1.2.1-r0.apk 25-Oct-2024 19:09 89175
lua-psl-0.3-r0.apk 25-Oct-2024 19:09 1129
lua-resty-redis-0.29-r0.apk 25-Oct-2024 19:09 5450
lua-resty-upload-0.11-r0.apk 25-Oct-2024 19:09 3699
lua-xml-1.1.3-r2.apk 25-Oct-2024 19:09 1464
lua5.1-lanes-3.16.0-r1.apk 25-Oct-2024 19:09 56483
lua5.1-lcurses-9.0.0-r0.apk 25-Oct-2024 19:09 23143
lua5.1-libguestfs-1.52.0-r1.apk 25-Oct-2024 19:09 90941
lua5.1-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:09 10091
lua5.1-linenoise-0.9-r1.apk 25-Oct-2024 19:09 17991
lua5.1-luacov-0.15.0-r0.apk 25-Oct-2024 19:09 23854
lua5.1-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:09 422630
lua5.1-luastatic-0.0.12-r1.apk 25-Oct-2024 19:09 80511
lua5.1-psl-0.3-r0.apk 25-Oct-2024 19:09 6238
lua5.1-xml-1.1.3-r2.apk 25-Oct-2024 19:09 23660
lua5.2-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:09 4432
lua5.2-lanes-3.16.0-r1.apk 25-Oct-2024 19:09 56323
lua5.2-libmodbus-0.6.1-r0.apk 25-Oct-2024 19:09 10080
lua5.2-linenoise-0.9-r1.apk 25-Oct-2024 19:09 18018
lua5.2-luacov-0.15.0-r0.apk 25-Oct-2024 19:09 23841
lua5.2-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:09 422658
lua5.2-luastatic-0.0.12-r1.apk 25-Oct-2024 19:09 9201
lua5.2-psl-0.3-r0.apk 25-Oct-2024 19:09 6131
lua5.2-xml-1.1.3-r2.apk 25-Oct-2024 19:09 23551
lua5.3-apk3-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 6221
lua5.3-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:09 4465
lua5.3-lanes-3.16.0-r1.apk 25-Oct-2024 19:09 56710
lua5.3-linenoise-0.9-r1.apk 25-Oct-2024 19:09 18022
lua5.3-luacov-0.15.0-r0.apk 25-Oct-2024 19:09 23849
lua5.3-luacov-html-1.0.0-r1.apk 25-Oct-2024 19:09 422667
lua5.3-luastatic-0.0.12-r1.apk 25-Oct-2024 19:09 9217
lua5.3-psl-0.3-r0.apk 25-Oct-2024 19:09 6134
lua5.4-editorconfig-0.3.0-r0.apk 25-Oct-2024 19:09 4459
lua5.4-lanes-3.16.0-r1.apk 25-Oct-2024 19:09 56482
lua5.4-linenoise-0.9-r1.apk 25-Oct-2024 19:09 18005
lua5.4-luacov-0.15.0-r0.apk 25-Oct-2024 19:09 23851
lua5.4-luastatic-0.0.12-r1.apk 25-Oct-2024 19:09 9291
luacov-0.15.0-r0.apk 25-Oct-2024 19:09 1484
luacov-html-1.0.0-r1.apk 25-Oct-2024 19:09 1243
luapak-0.1.0_beta5-r0.apk 25-Oct-2024 19:09 36023
luksmeta-9-r0.apk 25-Oct-2024 19:09 13369
luksmeta-dev-9-r0.apk 25-Oct-2024 19:09 3166
luksmeta-doc-9-r0.apk 25-Oct-2024 19:09 5611
lumina-desktop-1.6.2-r0.apk 25-Oct-2024 19:09 1266
lumina-desktop-archiver-1.6.2-r0.apk 25-Oct-2024 19:09 162963
lumina-desktop-core-1.6.2-r0.apk 25-Oct-2024 19:09 9308439
lumina-desktop-coreutils-1.6.2-r0.apk 25-Oct-2024 19:09 812897
lumina-desktop-doc-1.6.2-r0.apk 25-Oct-2024 19:09 11777
lumina-desktop-fileinfo-1.6.2-r0.apk 25-Oct-2024 19:09 156651
lumina-desktop-fm-1.6.2-r0.apk 25-Oct-2024 19:09 388767
lumina-desktop-mediaplayer-1.6.2-r0.apk 25-Oct-2024 19:09 196957
lumina-desktop-photo-1.6.2-r0.apk 25-Oct-2024 19:09 123074
lumina-desktop-screenshot-1.6.2-r0.apk 25-Oct-2024 19:09 163499
lumina-desktop-sudo-1.6.2-r0.apk 25-Oct-2024 19:09 94151
lumina-desktop-textedit-1.6.2-r0.apk 25-Oct-2024 19:09 189728
lumins-0.4.0-r2.apk 25-Oct-2024 19:09 655433
lutgen-0.11.2-r0.apk 25-Oct-2024 19:09 1535030
lutgen-bash-completion-0.11.2-r0.apk 25-Oct-2024 19:09 1722
lutgen-doc-0.11.2-r0.apk 25-Oct-2024 19:09 4288
lutgen-fish-completion-0.11.2-r0.apk 25-Oct-2024 19:09 1769
lutgen-zsh-completion-0.11.2-r0.apk 25-Oct-2024 19:09 1688
lxappearance-0.6.3-r3.apk 25-Oct-2024 19:09 30479
lxappearance-dev-0.6.3-r3.apk 25-Oct-2024 19:09 3310
lxappearance-doc-0.6.3-r3.apk 25-Oct-2024 19:09 2675
lxappearance-lang-0.6.3-r3.apk 25-Oct-2024 19:09 82428
lxd-feature-5.20-r8.apk 12-Feb-2025 17:34 64822236
lxd-feature-bash-completion-5.20-r8.apk 12-Feb-2025 17:34 5184
lxd-feature-doc-5.20-r8.apk 12-Feb-2025 17:34 1644
lxd-feature-openrc-5.20-r8.apk 12-Feb-2025 17:34 2411
lxd-feature-scripts-5.20-r8.apk 12-Feb-2025 17:34 2117
lxqt-wayland-session-0.1.0-r0.apk 11-Jan-2025 10:32 339952
lxqt-wayland-session-doc-0.1.0-r0.apk 11-Jan-2025 10:32 26618
lychee-0.15.1-r0.apk 25-Oct-2024 19:09 6368687
lychee-doc-0.15.1-r0.apk 25-Oct-2024 19:09 11631
lynis-3.1.1-r0.apk 25-Oct-2024 19:09 277783
lynis-bash-completion-3.1.1-r0.apk 25-Oct-2024 19:09 3049
lynis-doc-3.1.1-r0.apk 25-Oct-2024 19:09 50338
lyrics-in-terminal-1.7.0-r0.apk 03-Jan-2025 10:09 38978
lzfse-1.0-r0.apk 25-Oct-2024 19:09 21252
lzfse-dev-1.0-r0.apk 25-Oct-2024 19:09 3499
m2r2-0.3.3-r3.apk 25-Oct-2024 19:09 12800
m2r2-pyc-0.3.3-r3.apk 25-Oct-2024 19:09 15976
ma1sd-2.5.0-r3.apk 25-Oct-2024 19:09 39970131
ma1sd-openrc-2.5.0-r3.apk 25-Oct-2024 19:09 2001
macchina-6.1.8-r1.apk 25-Oct-2024 19:09 508083
macchina-doc-6.1.8-r1.apk 25-Oct-2024 19:09 5692
maddy-0.7.1-r7.apk 12-Feb-2025 17:34 9030511
maddy-doc-0.7.1-r7.apk 12-Feb-2025 17:34 2382
maddy-openrc-0.7.1-r7.apk 12-Feb-2025 17:34 1954
maddy-vim-0.7.1-r7.apk 12-Feb-2025 17:34 3605
mage-1.13.0-r20.apk 12-Feb-2025 17:34 1505308
mailctl-0.9.2-r0.apk 25-Oct-2024 19:09 7790805
mailctl-bash-completion-0.9.2-r0.apk 25-Oct-2024 19:09 1825
mailctl-doc-0.9.2-r0.apk 25-Oct-2024 19:09 5171
mailctl-fish-completion-0.9.2-r0.apk 25-Oct-2024 19:09 1916
mailctl-zsh-completion-0.9.2-r0.apk 25-Oct-2024 19:09 2001
maildir2rss-0.0.7-r2.apk 12-Feb-2025 17:34 3379412
mailsec-check-0_git20210729-r23.apk 12-Feb-2025 17:34 2465514
mailtutan-0.3.0-r0.apk 25-Oct-2024 19:09 1347311
mailutils-3.18-r0.apk 09-Jan-2025 05:56 260119
mailutils-dev-3.18-r0.apk 09-Jan-2025 05:56 3244598
mailutils-doc-3.18-r0.apk 09-Jan-2025 05:56 163819
mailutils-libs-3.18-r0.apk 09-Jan-2025 05:56 547444
mailutils-mh-3.18-r0.apk 09-Jan-2025 05:56 1465801
mailutils-servers-3.18-r0.apk 09-Jan-2025 05:56 81258
makeclapman-2.4.4-r2.apk 12-Feb-2025 17:34 1268418
makeclapman-doc-2.4.4-r2.apk 12-Feb-2025 17:34 4182
makedumpfile-1.7.6-r0.apk 28-Oct-2024 04:12 155824
makedumpfile-doc-1.7.6-r0.apk 28-Oct-2024 04:12 24167
makedumpfile-openrc-1.7.6-r0.apk 28-Oct-2024 04:12 2941
makeself-2.5.0-r0.apk 25-Oct-2024 19:09 13309
mame-0.251-r0.apk 25-Oct-2024 19:09 95444845
mame-arcade-0.251-r0.apk 25-Oct-2024 19:10 66930158
mame-common-0.251-r0.apk 25-Oct-2024 19:10 2721
mame-data-0.251-r0.apk 25-Oct-2024 19:10 20049128
mame-doc-0.251-r0.apk 25-Oct-2024 19:10 24638
mame-lang-0.251-r0.apk 25-Oct-2024 19:10 1495444
mame-mess-0.251-r0.apk 25-Oct-2024 19:10 51240694
mame-plugins-0.251-r0.apk 25-Oct-2024 19:10 170320
mame-tools-0.251-r0.apk 25-Oct-2024 19:10 2668534
mangal-4.0.6-r15.apk 12-Feb-2025 17:34 10204334
mangal-bash-completion-4.0.6-r15.apk 12-Feb-2025 17:34 5047
mangal-fish-completion-4.0.6-r15.apk 12-Feb-2025 17:34 3948
mangal-zsh-completion-4.0.6-r15.apk 12-Feb-2025 17:34 4030
mangr0ve-0.1.2-r0.apk 25-Oct-2024 19:10 2873
mangr0ve-doc-0.1.2-r0.apk 25-Oct-2024 19:10 14766
manifest-tool-2.1.9-r0.apk 22-Feb-2025 15:37 3769845
manticore-6.3.8-r1.apk 17-Feb-2025 15:07 5799447
manticore-converter-6.3.8-r1.apk 17-Feb-2025 15:07 4723364
manticore-dev-6.3.8-r1.apk 17-Feb-2025 15:07 4672
manticore-doc-6.3.8-r1.apk 17-Feb-2025 15:07 14967
manticore-openrc-6.3.8-r1.apk 17-Feb-2025 15:07 1858
manticore-tools-6.3.8-r1.apk 17-Feb-2025 15:07 18660736
mapnik-3.1.0-r29.apk 07-Nov-2024 23:59 10955218
mapnik-dev-3.1.0-r29.apk 07-Nov-2024 23:59 464972
mapnik-doc-3.1.0-r29.apk 07-Nov-2024 23:59 138082
mapserver-8.4.0-r0.apk 26-Jan-2025 06:27 1378729
mapserver-dev-8.4.0-r0.apk 26-Jan-2025 06:27 552772
marxan-4.0.7-r1.apk 25-Oct-2024 19:10 549600
masky-0.2.0-r1.apk 25-Oct-2024 19:10 284386
masky-pyc-0.2.0-r1.apk 25-Oct-2024 19:10 65867
mat2-0.13.4-r3.apk 25-Oct-2024 19:10 36244
mat2-doc-0.13.4-r3.apk 25-Oct-2024 19:10 7924
mat2-pyc-0.13.4-r3.apk 25-Oct-2024 19:10 55161
materia-20210322-r1.apk 25-Oct-2024 19:10 1735
materia-chromium-20210322-r1.apk 25-Oct-2024 19:10 5843
materia-compact-20210322-r1.apk 25-Oct-2024 19:10 1744
materia-compact-chromium-20210322-r1.apk 25-Oct-2024 19:10 5856
materia-compact-gnome-shell-20210322-r1.apk 25-Oct-2024 19:10 32384
materia-compact-gtk2-20210322-r1.apk 25-Oct-2024 19:10 38694
materia-compact-gtk3-20210322-r1.apk 25-Oct-2024 19:10 66095
materia-dark-20210322-r1.apk 25-Oct-2024 19:10 1745
materia-dark-chromium-20210322-r1.apk 25-Oct-2024 19:10 5862
materia-dark-compact-20210322-r1.apk 25-Oct-2024 19:10 1762
materia-dark-compact-chromium-20210322-r1.apk 25-Oct-2024 19:10 5875
materia-dark-compact-gnome-shell-20210322-r1.apk 25-Oct-2024 19:10 32358
materia-dark-compact-gtk2-20210322-r1.apk 25-Oct-2024 19:10 38629
materia-dark-compact-gtk3-20210322-r1.apk 25-Oct-2024 19:10 42183
materia-dark-compact-kde-kvantum-20220823-r0.apk 25-Oct-2024 19:10 1502
materia-dark-gnome-shell-20210322-r1.apk 25-Oct-2024 19:10 32286
materia-dark-gtk2-20210322-r1.apk 25-Oct-2024 19:10 38633
materia-dark-gtk3-20210322-r1.apk 25-Oct-2024 19:10 42193
materia-dark-kde-konsole-20220823-r0.apk 25-Oct-2024 19:10 1890
materia-dark-kde-kvantum-20220823-r0.apk 25-Oct-2024 19:10 30713
materia-dark-kde-plasma-20220823-r0.apk 25-Oct-2024 19:10 515004
materia-dark-kde-yakuake-20220823-r0.apk 25-Oct-2024 19:10 22248
materia-gnome-shell-20210322-r1.apk 25-Oct-2024 19:10 32283
materia-gtk-theme-20210322-r1.apk 25-Oct-2024 19:10 154723
materia-gtk2-20210322-r1.apk 25-Oct-2024 19:10 38692
materia-gtk3-20210322-r1.apk 25-Oct-2024 19:10 66206
materia-kde-20220823-r0.apk 25-Oct-2024 19:10 19799
materia-kde-konsole-20220823-r0.apk 25-Oct-2024 19:10 1872
materia-kde-kvantum-20220823-r0.apk 25-Oct-2024 19:10 30670
materia-kde-plasma-20220823-r0.apk 25-Oct-2024 19:10 1782939
materia-light-compact-kde-kvantum-20220823-r0.apk 25-Oct-2024 19:10 1505
materia-light-kde-kvantum-20220823-r0.apk 25-Oct-2024 19:10 30308
materia-light-kde-plasma-20220823-r0.apk 25-Oct-2024 19:10 20590
materia-light-kde-yakuake-20220823-r0.apk 25-Oct-2024 19:10 22010
mattermost-desktop-5.11.0-r0.apk 17-Feb-2025 15:07 4396198
mautrix-bluesky-0.1.0-r2.apk 12-Feb-2025 17:34 7962510
mautrix-bluesky-doc-0.1.0-r2.apk 12-Feb-2025 17:34 13460
mautrix-bluesky-openrc-0.1.0-r2.apk 12-Feb-2025 17:34 1942
mautrix-discord-0.7.2-r2.apk 12-Feb-2025 17:34 6019833
mautrix-discord-doc-0.7.2-r2.apk 12-Feb-2025 17:34 13446
mautrix-discord-openrc-0.7.2-r2.apk 12-Feb-2025 17:34 1940
mautrix-twitter-0.2.1-r2.apk 12-Feb-2025 17:34 6031910
mautrix-twitter-doc-0.2.1-r2.apk 12-Feb-2025 17:34 13457
mautrix-twitter-openrc-0.2.1-r2.apk 12-Feb-2025 17:34 1940
maxima-5.47.0-r8.apk 25-Oct-2024 19:10 21811101
maxima-bash-completion-5.47.0-r8.apk 25-Oct-2024 19:10 2451
maxima-doc-5.47.0-r8.apk 25-Oct-2024 19:10 779212
maxima-doc-extra-5.47.0-r8.apk 25-Oct-2024 19:10 10517711
maxima-emacs-5.47.0-r8.apk 25-Oct-2024 19:10 114069
mbrola-3.3-r0.apk 25-Oct-2024 19:10 22321
mcjoin-2.11-r0.apk 25-Oct-2024 19:10 24425
mcjoin-doc-2.11-r0.apk 25-Oct-2024 19:10 55018
mcman-0.4.5-r0.apk 25-Oct-2024 19:10 2914485
mcman-doc-0.4.5-r0.apk 25-Oct-2024 19:10 14041
mcqd-1.0.0-r1.apk 25-Oct-2024 19:10 13063
mcqd-dev-1.0.0-r1.apk 25-Oct-2024 19:10 4143
mcron-1.0.0-r1.apk 28-Nov-2024 16:16 373144
mcron-doc-1.0.0-r1.apk 28-Nov-2024 16:16 2787
mcron-openrc-1.0.0-r1.apk 28-Nov-2024 16:16 1791
md5ha1-0_git20171202-r1.apk 25-Oct-2024 19:10 9223
mdbook-admonish-1.18.0-r0.apk 27-Dec-2024 09:40 980506
mdbook-alerts-0.7.0-r0.apk 11-Jan-2025 12:12 705241
mdbook-katex-0.9.2-r0.apk 26-Jan-2025 06:27 1147792
mdbook-mermaid-0.14.0-r0.apk 23-Dec-2024 17:23 1606368
mdbook-plantuml-0.8.0-r0.apk 25-Oct-2024 19:10 906504
mdcat-2.7.1-r0.apk 14-Dec-2024 18:04 2907986
mdcat-bash-completion-2.7.1-r0.apk 14-Dec-2024 18:04 2256
mdcat-doc-2.7.1-r0.apk 14-Dec-2024 18:04 6182
mdcat-fish-completion-2.7.1-r0.apk 14-Dec-2024 18:04 2192
mdcat-zsh-completion-2.7.1-r0.apk 14-Dec-2024 18:04 2505
mdnsd-0.12-r1.apk 25-Oct-2024 19:10 24249
mdnsd-doc-0.12-r1.apk 25-Oct-2024 19:10 14702
mdnsd-libs-0.12-r1.apk 25-Oct-2024 19:10 18726
mdnsd-openrc-0.12-r1.apk 25-Oct-2024 19:10 2186
mdnsd-static-0.12-r1.apk 25-Oct-2024 19:10 19254
mdp-1.0.15-r1.apk 25-Oct-2024 19:10 16985
mdp-doc-1.0.15-r1.apk 25-Oct-2024 19:10 3795
mediascanner2-0.115-r2.apk 17-Feb-2025 15:07 265288
mediastreamer2-5.3.100-r0.apk 18-Feb-2025 10:35 374981
mediastreamer2-dev-5.3.100-r0.apk 18-Feb-2025 10:35 112235
mediastreamer2-doc-5.3.100-r0.apk 18-Feb-2025 10:35 110229
mediastreamer2-plugin-openh264-5.2.0_git2023102..> 25-Oct-2024 19:10 12595
mediastreamer2-plugin-x264-20200722-r6.apk 25-Oct-2024 19:10 8897
megatools-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 64039
megatools-bash-completion-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 4132
megatools-doc-1.11.1.20241028-r0.apk 29-Oct-2024 19:34 53053
megazeux-2.93b-r0.apk 25-Oct-2024 19:10 1133997
megazeux-doc-2.93b-r0.apk 25-Oct-2024 19:10 464588
melange-0.20.0-r0.apk 17-Feb-2025 15:07 15453762
melange-bash-completion-0.20.0-r0.apk 17-Feb-2025 15:07 6835
melange-fish-completion-0.20.0-r0.apk 17-Feb-2025 15:07 4375
melange-zsh-completion-0.20.0-r0.apk 17-Feb-2025 15:07 4077
meli-0.8.10-r0.apk 16-Dec-2024 10:09 4495475
meli-doc-0.8.10-r0.apk 16-Dec-2024 10:09 48455
memdump-1.01-r1.apk 25-Oct-2024 19:10 5924
memdump-doc-1.01-r1.apk 25-Oct-2024 19:10 3184
menumaker-0.99.14-r1.apk 25-Oct-2024 19:10 113687
mergerfs-2.40.2-r1.apk 25-Oct-2024 19:10 288689
mergerfs-doc-2.40.2-r1.apk 25-Oct-2024 19:10 42828
merlin-4.14-r0.apk 25-Oct-2024 19:10 16910311
merlin-dev-4.14-r0.apk 25-Oct-2024 19:10 23843089
merlin-emacs-4.14-r0.apk 25-Oct-2024 19:10 29213
merlin-vim-4.14-r0.apk 25-Oct-2024 19:10 28577
mesa-asahi-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 5826210
mesa-asahi-dev-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 346942
mesa-asahi-dri-gallium-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 20767
mesa-asahi-egl-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 125714
mesa-asahi-gbm-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 7617
mesa-asahi-gl-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 178157
mesa-asahi-glapi-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 47565
mesa-asahi-gles-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 22044
mesa-asahi-osmesa-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 3827101
mesa-asahi-rusticl-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 3436001
mesa-asahi-va-gallium-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 1472
mesa-asahi-vdpau-gallium-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 1484
mesa-asahi-vulkan-swrast-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 2185790
mesa-asahi-xatracker-24.3.0_pre20241211-r0.apk 04-Jan-2025 11:19 1491633
meson-tools-0.1-r2.apk 09-Dec-2024 16:38 11517
meson-tools-doc-0.1-r2.apk 09-Dec-2024 16:38 8494
mesonlsp-4.3.7-r1.apk 17-Feb-2025 15:07 2234129
metadata-cleaner-2.5.6-r0.apk 01-Feb-2025 16:09 50297
metadata-cleaner-doc-2.5.6-r0.apk 01-Feb-2025 16:09 1994073
metadata-cleaner-lang-2.5.6-r0.apk 01-Feb-2025 16:09 67488
metalang99-1.13.3-r0.apk 25-Oct-2024 19:10 55597
metricbeat-8.14.2-r2.apk 12-Feb-2025 17:34 35933262
metricbeat-openrc-8.14.2-r2.apk 12-Feb-2025 17:34 2002
milkytracker-1.04.00-r2.apk 25-Oct-2024 19:10 1020389
milkytracker-doc-1.04.00-r2.apk 25-Oct-2024 19:10 51628
mimalloc1-1.8.6-r0.apk 25-Oct-2024 19:10 68563
mimalloc1-debug-1.8.6-r0.apk 25-Oct-2024 19:10 178208
mimalloc1-dev-1.8.6-r0.apk 25-Oct-2024 19:10 454330
mimalloc1-insecure-1.8.6-r0.apk 25-Oct-2024 19:10 63146
mimedefang-3.5-r0.apk 25-Oct-2024 19:10 161011
mimedefang-doc-3.5-r0.apk 25-Oct-2024 19:10 82781
mimeo-2023-r2.apk 25-Oct-2024 19:10 28826
mimeo-pyc-2023-r2.apk 25-Oct-2024 19:10 42548
minidyndns-1.3.0-r3.apk 25-Oct-2024 19:10 11919
minidyndns-doc-1.3.0-r3.apk 25-Oct-2024 19:10 5227
minidyndns-openrc-1.3.0-r3.apk 25-Oct-2024 19:10 1887
minimodem-0.24-r1.apk 25-Oct-2024 19:10 20740
minimodem-doc-0.24-r1.apk 25-Oct-2024 19:10 5321
minisatip-1.3.4-r0.apk 25-Oct-2024 19:10 319929
minisatip-openrc-1.3.4-r0.apk 25-Oct-2024 19:10 1945
mint-themes-2.1.1-r0.apk 25-Oct-2024 19:10 2244
mint-themes-doc-2.1.1-r0.apk 25-Oct-2024 19:10 13131
mint-x-icons-1.7.2-r0.apk 24-Dec-2024 10:07 23566772
mint-x-icons-doc-1.7.2-r0.apk 24-Dec-2024 10:07 7556
mint-x-theme-2.1.1-r0.apk 25-Oct-2024 19:10 2383
mint-x-theme-gtk2-2.1.1-r0.apk 25-Oct-2024 19:10 501636
mint-x-theme-gtk3-2.1.1-r0.apk 25-Oct-2024 19:10 617963
mint-x-theme-gtk4-2.1.1-r0.apk 25-Oct-2024 19:10 522734
mint-x-theme-metacity-2.1.1-r0.apk 25-Oct-2024 19:10 6200
mint-x-theme-xfwm4-2.1.1-r0.apk 25-Oct-2024 19:10 35638
mint-y-icons-1.8.3-r0.apk 05-Feb-2025 22:14 75791376
mint-y-icons-doc-1.8.3-r0.apk 05-Feb-2025 22:14 11529
mint-y-theme-2.1.1-r0.apk 25-Oct-2024 19:10 4232
mint-y-theme-gtk2-2.1.1-r0.apk 25-Oct-2024 19:10 671882
mint-y-theme-gtk3-2.1.1-r0.apk 25-Oct-2024 19:10 1914027
mint-y-theme-gtk4-2.1.1-r0.apk 25-Oct-2024 19:10 1633830
mint-y-theme-metacity-2.1.1-r0.apk 25-Oct-2024 19:10 59112
mint-y-theme-xfwm4-2.1.1-r0.apk 25-Oct-2024 19:10 211983
mir-2.15.0-r5.apk 17-Feb-2025 15:07 1682975
mir-demos-2.15.0-r5.apk 17-Feb-2025 15:07 119961
mir-dev-2.15.0-r5.apk 17-Feb-2025 15:07 4677894
mir-test-tools-2.15.0-r5.apk 17-Feb-2025 15:07 210936
mirrorhall-0.1.1-r1.apk 14-Jan-2025 15:34 26884
mitra-3.18.0-r0.apk 27-Feb-2025 09:46 8566252
mitra-doc-3.18.0-r0.apk 27-Feb-2025 09:46 24536
mitra-openrc-3.18.0-r0.apk 27-Feb-2025 09:46 1889
mjpg-streamer-0_git20210220-r1.apk 25-Oct-2024 19:10 202439
mkcert-1.4.4-r16.apk 12-Feb-2025 17:34 1620398
mkdocs-bootstrap-1.1.1-r2.apk 25-Oct-2024 19:10 29208
mkdocs-bootstrap-pyc-1.1.1-r2.apk 25-Oct-2024 19:10 1851
mkdocs-bootstrap386-0.0.2-r5.apk 25-Oct-2024 19:10 810240
mkdocs-bootstrap386-pyc-0.0.2-r5.apk 25-Oct-2024 19:10 1857
mkdocs-bootstrap4-0.1.5-r5.apk 25-Oct-2024 19:10 266293
mkdocs-bootstrap4-pyc-0.1.5-r5.apk 25-Oct-2024 19:10 1855
mkdocs-bootswatch-1.1-r5.apk 25-Oct-2024 19:10 551321
mkdocs-bootswatch-pyc-1.1-r5.apk 25-Oct-2024 19:10 4834
mkdocs-cinder-1.2.0-r5.apk 25-Oct-2024 19:10 254600
mkdocs-cinder-pyc-1.2.0-r5.apk 25-Oct-2024 19:10 1836
mkdocs-cluster-0.0.9-r5.apk 25-Oct-2024 19:10 666763
mkdocs-cluster-pyc-0.0.9-r5.apk 25-Oct-2024 19:10 1857
mkdocs-gitbook-0.0.1-r5.apk 25-Oct-2024 19:10 659593
mkdocs-gitbook-pyc-0.0.1-r5.apk 25-Oct-2024 19:10 1857
mkdocs-ivory-0.4.6-r5.apk 25-Oct-2024 19:10 11194
mkdocs-ivory-pyc-0.4.6-r5.apk 25-Oct-2024 19:10 1847
mkdocs-rtd-dropdown-1.0.2-r5.apk 25-Oct-2024 19:10 254335
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk 25-Oct-2024 19:10 1857
mkdocs-windmill-1.0.5-r4.apk 25-Oct-2024 19:10 966721
mkdocs-windmill-pyc-1.0.5-r4.apk 25-Oct-2024 19:10 1853
mkg3a-0.5.0-r1.apk 25-Oct-2024 19:10 17391
mkg3a-doc-0.5.0-r1.apk 25-Oct-2024 19:10 3131
mkosi-25.3-r0.apk 01-Feb-2025 22:34 256898
mkosi-pyc-25.3-r0.apk 01-Feb-2025 22:34 402850
mkrundir-0.4.0-r1.apk 28-Nov-2024 16:16 98112
mktorrent-borg-0.9.9-r1.apk 25-Oct-2024 19:10 9577
mktorrent-borg-doc-0.9.9-r1.apk 25-Oct-2024 19:10 2550
mlxl-0.1-r0.apk 25-Oct-2024 19:10 6368
mm-1.4.2-r1.apk 25-Oct-2024 19:10 7206
mm-common-1.0.5-r0.apk 25-Oct-2024 19:10 484384
mm-common-doc-1.0.5-r0.apk 25-Oct-2024 19:10 32462
mm-dev-1.4.2-r1.apk 25-Oct-2024 19:10 11660
mm-doc-1.4.2-r1.apk 25-Oct-2024 19:10 14681
mmix-0_git20221025-r0.apk 25-Oct-2024 19:10 150096
mml-1.0.0-r0.apk 25-Oct-2024 19:10 861477
mml-bash-completion-1.0.0-r0.apk 25-Oct-2024 19:10 2360
mml-doc-1.0.0-r0.apk 25-Oct-2024 19:10 4013
mml-fish-completion-1.0.0-r0.apk 25-Oct-2024 19:10 2333
mml-zsh-completion-1.0.0-r0.apk 25-Oct-2024 19:10 2906
mmtc-0.3.2-r0.apk 25-Oct-2024 19:10 487632
mnamer-2.5.5-r1.apk 25-Oct-2024 19:10 32472
mnamer-pyc-2.5.5-r1.apk 25-Oct-2024 19:10 61931
mnemosyne-2.10.1-r1.apk 25-Oct-2024 19:10 621669
mnemosyne-lang-2.10.1-r1.apk 25-Oct-2024 19:10 449128
mnemosyne-pyc-2.10.1-r1.apk 25-Oct-2024 19:10 642912
mobpass-0.2-r6.apk 25-Oct-2024 19:10 18469
mobpass-pyc-0.2-r6.apk 25-Oct-2024 19:10 5368
mobroute-0.9.0-r2.apk 12-Feb-2025 17:34 4382662
mobroute-doc-0.9.0-r2.apk 12-Feb-2025 17:34 1401410
moccasin-0.1.3-r0.apk 25-Oct-2024 19:10 1819200
moccasin-doc-0.1.3-r0.apk 25-Oct-2024 19:10 5475
mod_dnssd-0.6-r0.apk 25-Oct-2024 19:10 9127
modem-manager-gui-0.0.20-r0.apk 25-Oct-2024 19:10 340418
modem-manager-gui-doc-0.0.20-r0.apk 25-Oct-2024 19:10 4130238
modem-manager-gui-lang-0.0.20-r0.apk 25-Oct-2024 19:10 132371
moe-1.14-r0.apk 25-Oct-2024 19:10 109454
moe-doc-1.14-r0.apk 25-Oct-2024 19:10 19459
moka-icon-theme-5.4.0-r2.apk 25-Oct-2024 19:10 119267281
monetdb-11.33.11-r4.apk 25-Oct-2024 19:10 2362680
monetdb-dev-11.33.11-r4.apk 25-Oct-2024 19:10 78946
monetdb-doc-11.33.11-r4.apk 25-Oct-2024 19:10 328634
mongo-cxx-driver-3.8.0-r0.apk 25-Oct-2024 19:10 180234
mongo-cxx-driver-dev-3.8.0-r0.apk 25-Oct-2024 19:10 91015
mono-6.12.0.205-r1.apk 25-Oct-2024 19:10 85839629
mono-dev-6.12.0.205-r1.apk 25-Oct-2024 19:10 690053
mono-doc-6.12.0.205-r1.apk 25-Oct-2024 19:10 155415
mono-lang-6.12.0.205-r1.apk 25-Oct-2024 19:10 35415
monopd-0.10.4-r0.apk 11-Jan-2025 11:11 92172
monopd-openrc-0.10.4-r0.apk 11-Jan-2025 11:11 1724
moon-buggy-1.0.51-r1.apk 25-Oct-2024 19:10 37094
moon-buggy-doc-1.0.51-r1.apk 25-Oct-2024 19:10 7302
moosefs-4.56.6-r0.apk 17-Feb-2025 15:07 261315
moosefs-cgi-4.56.6-r0.apk 17-Feb-2025 15:07 124032
moosefs-cgiserv-4.56.6-r0.apk 17-Feb-2025 15:07 7949
moosefs-cgiserv-openrc-4.56.6-r0.apk 17-Feb-2025 15:07 1980
moosefs-chunkserver-4.56.6-r0.apk 17-Feb-2025 15:07 185445
moosefs-chunkserver-openrc-4.56.6-r0.apk 17-Feb-2025 15:07 1657
moosefs-client-4.56.6-r0.apk 17-Feb-2025 15:07 730285
moosefs-doc-4.56.6-r0.apk 17-Feb-2025 15:07 97402
moosefs-master-4.56.6-r0.apk 17-Feb-2025 15:07 371547
moosefs-master-openrc-4.56.6-r0.apk 17-Feb-2025 15:07 1644
moosefs-metalogger-4.56.6-r0.apk 17-Feb-2025 15:07 41350
moosefs-metalogger-openrc-4.56.6-r0.apk 17-Feb-2025 15:07 1649
moosefs-static-4.56.6-r0.apk 17-Feb-2025 15:07 726804
morph-browser-1.1.2-r0.apk 26-Jan-2025 06:27 560999
morph-browser-lang-1.1.2-r0.apk 26-Jan-2025 06:27 334632
motion-4.7.0-r0.apk 25-Oct-2024 19:10 140412
motion-doc-4.7.0-r0.apk 25-Oct-2024 19:10 143249
motion-lang-4.7.0-r0.apk 25-Oct-2024 19:10 482526
motion-openrc-4.7.0-r0.apk 25-Oct-2024 19:10 2306
mp3gain-1.6.2-r3.apk 03-Jan-2025 16:47 32266
mp3val-0.1.8-r1.apk 25-Oct-2024 19:10 13465
mpdcron-0.3-r1.apk 25-Oct-2024 19:10 95746
mpdcron-dev-0.3-r1.apk 25-Oct-2024 19:10 62082
mpdcron-doc-0.3-r1.apk 25-Oct-2024 19:10 13683
mpdcron-zsh-completion-0.3-r1.apk 25-Oct-2024 19:10 2953
mpdris2-0.9.1-r3.apk 25-Oct-2024 19:10 15548
mpdris2-doc-0.9.1-r3.apk 25-Oct-2024 19:10 15224
mpdris2-lang-0.9.1-r3.apk 25-Oct-2024 19:10 2390
mpdris2-rs-0.2.3-r0.apk 25-Oct-2024 19:10 725147
mpdris2-rs-doc-0.2.3-r0.apk 25-Oct-2024 19:10 13925
mpop-1.4.21-r0.apk 14-Jan-2025 05:15 70551
mpop-doc-1.4.21-r0.apk 14-Jan-2025 05:15 34032
mpop-lang-1.4.21-r0.apk 14-Jan-2025 05:15 133232
mpop-vim-1.4.21-r0.apk 14-Jan-2025 05:15 2689
mpv-sponsorblock-2.1.0-r0.apk 25-Oct-2024 19:10 1552789
mqtt2prometheus-0.1.7-r13.apk 12-Feb-2025 17:34 4231821
mrsh-0_git20210518-r1.apk 25-Oct-2024 19:10 5670
mrsh-dbg-0_git20210518-r1.apk 25-Oct-2024 19:10 209492
mrsh-dev-0_git20210518-r1.apk 25-Oct-2024 19:10 10225
mrsh-libs-0_git20210518-r1.apk 25-Oct-2024 19:10 60025
msgpuck-2.0-r1.apk 25-Oct-2024 19:10 1197
msgpuck-dev-2.0-r1.apk 25-Oct-2024 19:10 24105
msgpuck-doc-2.0-r1.apk 25-Oct-2024 19:10 7493
msh-2.5.0-r9.apk 12-Feb-2025 17:34 2767027
msh-openrc-2.5.0-r9.apk 12-Feb-2025 17:34 1972
mspdebug-0.25-r1.apk 25-Oct-2024 19:10 211318
mspdebug-doc-0.25-r1.apk 25-Oct-2024 19:10 14551
mstflint-4.26.0.1-r0.apk 25-Oct-2024 19:10 4279351
mstflint-doc-4.26.0.1-r0.apk 25-Oct-2024 19:10 18387
mtg-2.1.7-r18.apk 12-Feb-2025 17:34 4299570
mtg-openrc-2.1.7-r18.apk 12-Feb-2025 17:34 1849
mtree-portable-0_git20220519-r0.apk 25-Oct-2024 19:10 25592
mtree-portable-doc-0_git20220519-r0.apk 25-Oct-2024 19:10 11789
muon-0.4.0-r0.apk 12-Feb-2025 18:03 325804
muon-doc-0.4.0-r0.apk 12-Feb-2025 18:03 75212
muon-wrap-0.4.0-r0.apk 12-Feb-2025 18:03 327044
muse-4.2.1-r1.apk 25-Oct-2024 19:10 6349819
muse-doc-4.2.1-r1.apk 25-Oct-2024 19:10 4314495
musikcube-3.0.4-r1.apk 26-Jan-2025 19:30 2240725
musikcube-dev-3.0.4-r1.apk 26-Jan-2025 19:30 19497
musikcube-plugin-all-3.0.4-r1.apk 26-Jan-2025 19:30 1313
musikcube-plugin-httpdatastream-3.0.4-r1.apk 26-Jan-2025 19:30 74975
musikcube-plugin-mpris-3.0.4-r1.apk 26-Jan-2025 19:30 20939
musikcube-plugin-openmpt-3.0.4-r1.apk 26-Jan-2025 19:30 29972
musikcube-plugin-server-3.0.4-r1.apk 26-Jan-2025 19:30 360440
musikcube-plugin-stockencoders-3.0.4-r1.apk 26-Jan-2025 19:30 19646
musikcube-plugin-supereqdsp-3.0.4-r1.apk 26-Jan-2025 19:30 25635
musikcube-plugin-taglibreader-3.0.4-r1.apk 26-Jan-2025 19:30 35363
mxclient-0_git20211002-r1.apk 25-Oct-2024 19:10 74306
mypaint-2.0.1-r1.apk 25-Oct-2024 19:10 4050075
mypaint-lang-2.0.1-r1.apk 25-Oct-2024 19:10 1248146
mypaint-pyc-2.0.1-r1.apk 25-Oct-2024 19:10 1176310
n30f-2.0-r3.apk 25-Oct-2024 19:10 7072
naabu-2.3.3-r2.apk 12-Feb-2025 17:34 9287982
naabu-doc-2.3.3-r2.apk 12-Feb-2025 17:34 2273
nano-hare-0_git20231021-r0.apk 25-Oct-2024 19:10 2229
nauty-2.8.9-r0.apk 25-Oct-2024 19:10 5598546
nauty-dev-2.8.9-r0.apk 25-Oct-2024 19:10 3680924
nauty-libs-2.8.9-r0.apk 25-Oct-2024 19:10 2694407
nb-7.15.1-r0.apk 04-Feb-2025 00:00 154396
nb-bash-completion-7.15.1-r0.apk 04-Feb-2025 00:00 2996
nb-doc-7.15.1-r0.apk 04-Feb-2025 00:00 77982
nb-fish-completion-7.15.1-r0.apk 04-Feb-2025 00:00 2802
nb-full-7.15.1-r0.apk 04-Feb-2025 00:00 1265
nb-zsh-completion-7.15.1-r0.apk 04-Feb-2025 00:00 2959
nbsdgames-5-r0.apk 25-Oct-2024 19:10 103246
nbsdgames-doc-5-r0.apk 25-Oct-2024 19:10 9617
ncdu2-2.6-r0.apk 25-Oct-2024 19:10 234699
ncdu2-doc-2.6-r0.apk 25-Oct-2024 19:10 9448
ndpi-4.10-r0.apk 25-Oct-2024 19:10 1329174
ndpi-dev-4.10-r0.apk 25-Oct-2024 19:10 887877
neard-0.19-r0.apk 25-Oct-2024 19:10 142665
neard-dev-0.19-r0.apk 25-Oct-2024 19:10 11352
neard-doc-0.19-r0.apk 25-Oct-2024 19:10 5740
neard-openrc-0.19-r0.apk 25-Oct-2024 19:10 1750
neko-2.3.0-r0.apk 21-Nov-2024 00:55 463992
neko-dev-2.3.0-r0.apk 21-Nov-2024 00:55 10636
neko-doc-2.3.0-r0.apk 21-Nov-2024 00:55 20399
nemo-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 19:10 23371
neo4j-client-2.2.0-r3.apk 25-Oct-2024 19:10 29508
neo4j-client-doc-2.2.0-r3.apk 25-Oct-2024 19:10 5486
neocmakelsp-0.8.19-r0.apk 27-Feb-2025 18:26 1663099
neocmakelsp-bash-completion-0.8.19-r0.apk 27-Feb-2025 18:26 2360
neocmakelsp-doc-0.8.19-r0.apk 27-Feb-2025 18:26 5653
neocmakelsp-fish-completion-0.8.19-r0.apk 27-Feb-2025 18:26 2275
neocmakelsp-zsh-completion-0.8.19-r0.apk 27-Feb-2025 18:26 2523
neofetch-7.1.0-r2.apk 07-Nov-2024 05:26 87713
neofetch-doc-7.1.0-r2.apk 07-Nov-2024 05:26 6327
netdiscover-0.10-r0.apk 25-Oct-2024 19:10 695959
netdiscover-doc-0.10-r0.apk 25-Oct-2024 19:10 22516
netscanner-0.5.1-r1.apk 25-Oct-2024 19:10 3678621
netscanner-doc-0.5.1-r1.apk 25-Oct-2024 19:10 3410
netsed-1.3-r3.apk 25-Oct-2024 19:10 10329
netstandard21-targeting-pack-6.0.136-r1.apk 25-Nov-2024 04:52 1966404
netsurf-3.11-r0.apk 25-Oct-2024 19:10 2198350
netsurf-doc-3.11-r0.apk 25-Oct-2024 19:10 4486
netsurf-framebuffer-3.11-r0.apk 25-Oct-2024 19:10 2907542
netsurf-framebuffer-doc-3.11-r0.apk 25-Oct-2024 19:10 3987
newlib-esp-0_git20240109-r0.apk 25-Oct-2024 19:10 1554
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk 25-Oct-2024 19:10 4497118
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk 25-Oct-2024 19:10 4536124
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk 25-Oct-2024 19:10 4498959
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk 25-Oct-2024 19:10 4675937
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk 25-Oct-2024 19:10 1113267
newsyslog-1.2.0.91-r1.apk 25-Oct-2024 19:10 18196
newsyslog-doc-1.2.0.91-r1.apk 25-Oct-2024 19:10 24594
nextpnr-0.7-r0.apk 25-Oct-2024 19:10 1482
nextpnr-ecp5-0.7-r0.apk 25-Oct-2024 19:10 26645639
nextpnr-generic-0.7-r0.apk 25-Oct-2024 19:10 762595
nextpnr-gowin-0.7-r0.apk 25-Oct-2024 19:10 1549803
nextpnr-ice40-0.7-r0.apk 25-Oct-2024 19:10 71888489
nfoview-2.0.1-r0.apk 25-Oct-2024 19:10 39875
nfoview-doc-2.0.1-r0.apk 25-Oct-2024 19:10 8206
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r..> 25-Oct-2024 19:10 729831
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.40..> 25-Oct-2024 19:10 21777
ngs-0.2.14-r0.apk 25-Oct-2024 19:10 296652
ngs-aws-0.2.14-r0.apk 25-Oct-2024 19:10 33462
ngs-vim-0.2.14-r0.apk 25-Oct-2024 19:10 5008
nicotine-plus-3.3.7-r0.apk 16-Dec-2024 14:21 1570068
nicotine-plus-doc-3.3.7-r0.apk 16-Dec-2024 14:21 2574
nicotine-plus-lang-3.3.7-r0.apk 16-Dec-2024 14:21 676794
nicotine-plus-pyc-3.3.7-r0.apk 16-Dec-2024 14:21 799748
nil-2023.08.09-r0.apk 25-Oct-2024 19:10 1731672
niri-0.1.10.1-r2.apk 24-Nov-2024 22:02 4081187
niri-portalsconf-0.1.10.1-r2.apk 24-Nov-2024 22:02 1650
nitro-2.7_beta8-r2.apk 25-Oct-2024 19:10 556292
nitro-dev-2.7_beta8-r2.apk 25-Oct-2024 19:10 194535
nitrocli-0.4.1-r3.apk 25-Oct-2024 19:10 419762
nitrocli-bash-completion-0.4.1-r3.apk 25-Oct-2024 19:10 3317
nitrocli-doc-0.4.1-r3.apk 25-Oct-2024 19:10 9037
nixpacks-0.1.7-r1.apk 25-Oct-2024 19:10 912814
nkk-0_git20221010-r0.apk 25-Oct-2024 19:10 15299
nkk-dev-0_git20221010-r0.apk 25-Oct-2024 19:10 2969
nkk-doc-0_git20221010-r0.apk 25-Oct-2024 19:10 7139
nlopt-2.10.0-r0.apk 06-Feb-2025 04:44 187944
nlopt-dev-2.10.0-r0.apk 06-Feb-2025 04:44 12206
nlopt-doc-2.10.0-r0.apk 06-Feb-2025 04:44 23322
nlopt-guile-2.10.0-r0.apk 06-Feb-2025 04:44 42128
nlopt-octave-2.10.0-r0.apk 06-Feb-2025 04:44 27598
nm-tray-0.5.0-r0.apk 25-Oct-2024 19:10 103795
nm-tray-lang-0.5.0-r0.apk 25-Oct-2024 19:10 27557
nmap-parse-output-1.5.1-r0.apk 25-Oct-2024 19:10 21910
nmap-parse-output-bash-completion-1.5.1-r0.apk 25-Oct-2024 19:10 1774
nmap-parse-output-doc-1.5.1-r0.apk 25-Oct-2024 19:10 826863
nmon-16q-r0.apk 25-Oct-2024 19:10 74764
noblenote-1.2.1-r1.apk 25-Oct-2024 19:10 405548
node-libpg-query-13.1.2-r5.apk 25-Oct-2024 19:10 18812
noggin-0.1-r14.apk 12-Feb-2025 17:34 1364384
noggin-doc-0.1-r14.apk 12-Feb-2025 17:34 2923
noggin-model-0.1-r0.apk 25-Oct-2024 19:10 12576152
noggin-model-lightweight-0.1-r0.apk 25-Oct-2024 19:10 1762336
noice-0.8-r1.apk 25-Oct-2024 19:10 10017
noice-doc-0.8-r1.apk 25-Oct-2024 19:10 3437
nom-2.6.1-r2.apk 12-Feb-2025 17:34 6767064
normaliz-3.10.4-r0.apk 30-Oct-2024 13:54 40227
normaliz-dev-3.10.4-r0.apk 30-Oct-2024 13:54 74241
normaliz-libs-3.10.4-r0.apk 30-Oct-2024 13:54 2549495
notification-daemon-3.20.0-r0.apk 25-Oct-2024 19:10 63627
nsh-0.4.2-r1.apk 25-Oct-2024 19:10 591392
nsh-dbg-0.4.2-r1.apk 25-Oct-2024 19:10 3487587
nsnake-3.0.0-r0.apk 25-Oct-2024 19:10 9053
nsnake-doc-3.0.0-r0.apk 25-Oct-2024 19:10 2688
nsq-1.3.0-r7.apk 12-Feb-2025 17:34 24097367
ntpd-rs-1.4.0-r0.apk 14-Dec-2024 19:38 2619471
ntpd-rs-doc-1.4.0-r0.apk 14-Dec-2024 19:38 23260
ntpd-rs-openrc-1.4.0-r0.apk 14-Dec-2024 19:38 1891
nuklear-4.12.0-r0.apk 25-Oct-2024 19:10 225110
nuklear-doc-4.12.0-r0.apk 25-Oct-2024 19:10 43452
nullmailer-2.2-r4.apk 25-Oct-2024 19:10 123484
nullmailer-doc-2.2-r4.apk 25-Oct-2024 19:10 10459
nullmailer-openrc-2.2-r4.apk 25-Oct-2024 19:10 1635
numbat-1.9.0-r0.apk 25-Oct-2024 19:10 1013786
numbat-doc-1.9.0-r0.apk 25-Oct-2024 19:10 25347
nuzzle-1.6-r0.apk 26-Jan-2025 06:27 11468
nuzzle-doc-1.6-r0.apk 26-Jan-2025 06:27 3281
nvidia-src-535.86.05-r1.apk 09-Jan-2025 11:46 12575510
nvim-cmp-0.0.0_git20221011-r1.apk 25-Oct-2024 19:10 56162
nvim-cmp-buffer-0.0.0_git20220810-r1.apk 25-Oct-2024 19:10 7995
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk 25-Oct-2024 19:10 4254
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk 25-Oct-2024 19:10 3393
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk 25-Oct-2024 19:10 1831
nvim-cmp-doc-0.0.0_git20221011-r1.apk 25-Oct-2024 19:10 10710
nvim-cmp-lsp-0.0.0_git20220516-r1.apk 25-Oct-2024 19:10 3563
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk 25-Oct-2024 19:10 2668
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk 25-Oct-2024 19:10 3604
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk 25-Oct-2024 19:10 2086
nvim-cmp-path-0.0.0_git20221002-r1.apk 25-Oct-2024 19:10 3858
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk 25-Oct-2024 19:10 2024
nvim-gruvbox-0.0.0_git20221212-r1.apk 25-Oct-2024 19:10 10418
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk 25-Oct-2024 19:10 2864
nvim-lualine-0.0.0_git20241101-r0.apk 13-Nov-2024 23:12 60976
nvim-lualine-doc-0.0.0_git20241101-r0.apk 13-Nov-2024 23:12 31296
nvim-packer-0.0.0_git20220910-r1.apk 25-Oct-2024 19:10 46702
nvim-packer-doc-0.0.0_git20220910-r1.apk 25-Oct-2024 19:10 21692
nvim-plenary-0.1.4_git20240917-r0.apk 11-Nov-2024 04:07 104452
nvim-plenary-doc-0.1.4_git20240917-r0.apk 11-Nov-2024 04:07 10554
nvim-treesitter-0.9.3-r0.apk 04-Nov-2024 03:04 397565
nvim-treesitter-doc-0.9.3-r0.apk 04-Nov-2024 03:04 30448
nvim-web-devicons-0.100_git20241011-r0.apk 11-Nov-2024 03:57 27468
nvim-web-devicons-doc-0.100_git20241011-r0.apk 11-Nov-2024 03:57 7290
nvimpager-0.12.0-r0.apk 25-Oct-2024 19:10 12890
nvimpager-doc-0.12.0-r0.apk 25-Oct-2024 19:10 4529
nvimpager-zsh-completion-0.12.0-r0.apk 25-Oct-2024 19:10 1869
nvtop-3.1.0-r0.apk 25-Oct-2024 19:10 62768
nvtop-doc-3.1.0-r0.apk 25-Oct-2024 19:10 3614
nwg-bar-0.1.6-r7.apk 12-Feb-2025 17:34 1549276
nwg-displays-0.3.13-r1.apk 25-Oct-2024 19:10 24173
nwg-displays-pyc-0.3.13-r1.apk 25-Oct-2024 19:10 35601
nwg-dock-0.3.9-r8.apk 12-Feb-2025 17:34 1654881
nwg-panel-0.9.62-r0.apk 26-Jan-2025 06:27 281747
nwg-panel-doc-0.9.62-r0.apk 26-Jan-2025 06:27 4316
nwg-panel-pyc-0.9.62-r0.apk 26-Jan-2025 06:27 258597
nymphcast-mediaserver-0.1-r3.apk 25-Oct-2024 19:10 123771
nymphcast-mediaserver-nftables-0.1-r3.apk 25-Oct-2024 19:10 1722
nzbget-24.6-r0.apk 25-Feb-2025 12:30 5073655
nzbget-openrc-24.6-r0.apk 25-Feb-2025 12:30 2076
oauth2-proxy-7.6.0-r8.apk 12-Feb-2025 17:34 7854171
oauth2-proxy-openrc-7.6.0-r8.apk 12-Feb-2025 17:34 2100
objconv-2.52_git20210213-r2.apk 25-Oct-2024 19:10 247309
oblibs-0.3.2.1-r0.apk 26-Jan-2025 06:27 29628
oblibs-dev-0.3.2.1-r0.apk 26-Jan-2025 06:27 60893
ocaml-alcotest-1.5.0-r4.apk 25-Oct-2024 19:10 498015
ocaml-alcotest-dev-1.5.0-r4.apk 25-Oct-2024 19:10 850441
ocaml-amqp-client-2.3.0-r0.apk 25-Oct-2024 19:10 642264
ocaml-amqp-client-dev-2.3.0-r0.apk 25-Oct-2024 19:10 1077945
ocaml-angstrom-0.16.0-r0.apk 25-Oct-2024 19:10 190719
ocaml-angstrom-dev-0.16.0-r0.apk 25-Oct-2024 19:10 352207
ocaml-arp-3.0.0-r3.apk 25-Oct-2024 19:10 95276
ocaml-arp-dev-3.0.0-r3.apk 25-Oct-2024 19:10 165525
ocaml-asn1-combinators-0.2.6-r2.apk 25-Oct-2024 19:10 339191
ocaml-asn1-combinators-dev-0.2.6-r2.apk 25-Oct-2024 19:10 592440
ocaml-astring-0.8.5-r2.apk 25-Oct-2024 19:10 306689
ocaml-astring-dev-0.8.5-r2.apk 25-Oct-2024 19:10 189302
ocaml-atd-2.15.0-r0.apk 25-Oct-2024 19:10 8941738
ocaml-atd-dev-2.15.0-r0.apk 25-Oct-2024 19:10 2005600
ocaml-base-0.16.3-r0.apk 25-Oct-2024 19:10 4933502
ocaml-base-dev-0.16.3-r0.apk 25-Oct-2024 19:10 10156918
ocaml-base64-3.5.0-r2.apk 25-Oct-2024 19:10 98065
ocaml-base64-dev-3.5.0-r2.apk 25-Oct-2024 19:10 182079
ocaml-bigarray-compat-1.1.0-r2.apk 25-Oct-2024 19:10 13462
ocaml-bigarray-compat-dev-1.1.0-r2.apk 25-Oct-2024 19:10 12460
ocaml-bigstringaf-0.9.0-r2.apk 25-Oct-2024 19:10 52180
ocaml-bigstringaf-dev-0.9.0-r2.apk 25-Oct-2024 19:10 94218
ocaml-biniou-1.2.1-r5.apk 25-Oct-2024 19:10 704776
ocaml-biniou-dev-1.2.1-r5.apk 25-Oct-2024 19:10 378210
ocaml-bisect_ppx-2.8.3-r0.apk 25-Oct-2024 19:10 6436172
ocaml-bisect_ppx-dev-2.8.3-r0.apk 25-Oct-2024 19:10 566233
ocaml-bitstring-4.1.0-r3.apk 25-Oct-2024 19:10 5649589
ocaml-bitstring-dev-4.1.0-r3.apk 25-Oct-2024 19:10 592285
ocaml-bos-0.2.1-r2.apk 25-Oct-2024 19:10 503440
ocaml-bos-dev-0.2.1-r2.apk 25-Oct-2024 19:10 367609
ocaml-ca-certs-0.2.2-r2.apk 25-Oct-2024 19:10 33926
ocaml-ca-certs-dev-0.2.2-r2.apk 25-Oct-2024 19:10 41599
ocaml-ca-certs-nss-3.89.1-r1.apk 25-Oct-2024 19:10 404572
ocaml-ca-certs-nss-dev-3.89.1-r1.apk 25-Oct-2024 19:10 458415
ocaml-ca-certs-nss-tools-3.89.1-r1.apk 25-Oct-2024 19:10 1956526
ocaml-cairo2-0.6.2-r2.apk 25-Oct-2024 19:10 178300
ocaml-cairo2-dev-0.6.2-r2.apk 25-Oct-2024 19:10 456865
ocaml-calendar-2.04-r4.apk 25-Oct-2024 19:10 238945
ocaml-calendar-dev-2.04-r4.apk 25-Oct-2024 19:10 171955
ocaml-calendar-doc-2.04-r4.apk 25-Oct-2024 19:10 12156
ocaml-camlzip-1.11-r2.apk 25-Oct-2024 19:10 123050
ocaml-camlzip-dev-1.11-r2.apk 25-Oct-2024 19:10 226001
ocaml-camomile-1.0.2-r3.apk 25-Oct-2024 19:10 1440477
ocaml-camomile-data-1.0.2-r3.apk 25-Oct-2024 19:10 5343447
ocaml-camomile-dev-1.0.2-r3.apk 25-Oct-2024 19:10 2620597
ocaml-charinfo_width-1.1.0-r3.apk 25-Oct-2024 19:10 116278
ocaml-charinfo_width-dev-1.1.0-r3.apk 25-Oct-2024 19:10 196761
ocaml-cmdliner-1.1.1-r3.apk 25-Oct-2024 19:10 506627
ocaml-cmdliner-dev-1.1.1-r3.apk 25-Oct-2024 19:10 255824
ocaml-cmdliner-doc-1.1.1-r3.apk 25-Oct-2024 19:10 20239
ocaml-cohttp-5.3.1-r0.apk 25-Oct-2024 19:10 731714
ocaml-cohttp-dev-5.3.1-r0.apk 25-Oct-2024 19:10 1365823
ocaml-cohttp-tools-5.3.1-r0.apk 25-Oct-2024 19:10 10403167
ocaml-compiler-libs-repackaged-0.12.4-r3.apk 25-Oct-2024 19:10 85110
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk 25-Oct-2024 19:10 106043
ocaml-conduit-6.1.0-r0.apk 25-Oct-2024 19:10 312111
ocaml-conduit-dev-6.1.0-r0.apk 25-Oct-2024 19:10 564748
ocaml-containers-3.7-r2.apk 25-Oct-2024 19:10 3850671
ocaml-containers-dev-3.7-r2.apk 25-Oct-2024 19:10 7064652
ocaml-containers-top-3.7-r2.apk 25-Oct-2024 19:10 25264
ocaml-cstruct-6.1.0-r3.apk 25-Oct-2024 19:10 5797991
ocaml-cstruct-dev-6.1.0-r3.apk 25-Oct-2024 19:10 728502
ocaml-ctypes-0.20.1-r2.apk 25-Oct-2024 19:10 989278
ocaml-ctypes-dev-0.20.1-r2.apk 25-Oct-2024 19:10 898716
ocaml-curses-1.0.10-r2.apk 25-Oct-2024 19:10 150748
ocaml-curses-dev-1.0.10-r2.apk 25-Oct-2024 19:10 322701
ocaml-dns-6.2.2-r3.apk 25-Oct-2024 19:10 2519644
ocaml-dns-dev-6.2.2-r3.apk 25-Oct-2024 19:10 4387639
ocaml-dns-tools-6.2.2-r3.apk 25-Oct-2024 19:10 14395221
ocaml-domain-name-0.4.0-r2.apk 25-Oct-2024 19:10 79011
ocaml-domain-name-dev-0.4.0-r2.apk 25-Oct-2024 19:10 145619
ocaml-down-0.1.0-r3.apk 25-Oct-2024 19:10 713540
ocaml-down-dev-0.1.0-r3.apk 25-Oct-2024 19:10 365108
ocaml-duration-0.2.0-r2.apk 25-Oct-2024 19:10 29438
ocaml-duration-dev-0.2.0-r2.apk 25-Oct-2024 19:10 45289
ocaml-easy-format-1.3.4-r1.apk 25-Oct-2024 19:10 64548
ocaml-easy-format-dev-1.3.4-r1.apk 25-Oct-2024 19:10 115112
ocaml-eqaf-0.8-r2.apk 25-Oct-2024 19:10 76634
ocaml-eqaf-dev-0.8-r2.apk 25-Oct-2024 19:10 125149
ocaml-erm_xml-0_git20211229-r2.apk 25-Oct-2024 19:10 615074
ocaml-erm_xml-dev-0_git20211229-r2.apk 25-Oct-2024 19:10 813930
ocaml-erm_xmpp-0_git20220404-r2.apk 25-Oct-2024 19:10 1444629
ocaml-erm_xmpp-dev-0_git20220404-r2.apk 25-Oct-2024 19:10 1750250
ocaml-ethernet-3.0.0-r3.apk 25-Oct-2024 19:10 48440
ocaml-ethernet-dev-3.0.0-r3.apk 25-Oct-2024 19:10 85980
ocaml-extlib-1.7.9-r2.apk 25-Oct-2024 19:10 682714
ocaml-extlib-dev-1.7.9-r2.apk 25-Oct-2024 19:10 1361481
ocaml-extlib-doc-1.7.9-r2.apk 25-Oct-2024 19:10 10874
ocaml-ezxmlm-1.1.0-r0.apk 25-Oct-2024 19:10 35068
ocaml-ezxmlm-dev-1.1.0-r0.apk 25-Oct-2024 19:10 53868
ocaml-fileutils-0.6.4-r2.apk 25-Oct-2024 19:10 337233
ocaml-fileutils-dev-0.6.4-r2.apk 25-Oct-2024 19:10 617582
ocaml-fileutils-doc-0.6.4-r2.apk 25-Oct-2024 19:10 16180
ocaml-fix-20220121-r2.apk 25-Oct-2024 19:10 177917
ocaml-fix-dev-20220121-r2.apk 25-Oct-2024 19:10 440880
ocaml-fmt-0.9.0-r2.apk 25-Oct-2024 19:10 213304
ocaml-fmt-dev-0.9.0-r2.apk 25-Oct-2024 19:10 130811
ocaml-fpath-0.7.3-r2.apk 25-Oct-2024 19:10 150926
ocaml-fpath-dev-0.7.3-r2.apk 25-Oct-2024 19:10 105760
ocaml-gen-1.1-r1.apk 25-Oct-2024 19:10 342716
ocaml-gen-dev-1.1-r1.apk 25-Oct-2024 19:10 628472
ocaml-gettext-0.4.2-r3.apk 25-Oct-2024 19:10 4756308
ocaml-gettext-dev-0.4.2-r3.apk 25-Oct-2024 19:10 750658
ocaml-gettext-doc-0.4.2-r3.apk 25-Oct-2024 19:10 19642
ocaml-gitlab-0.1.8-r0.apk 25-Oct-2024 19:10 3301074
ocaml-gitlab-dev-0.1.8-r0.apk 25-Oct-2024 19:10 12124382
ocaml-gmap-0.3.0-r2.apk 25-Oct-2024 19:10 39081
ocaml-gmap-dev-0.3.0-r2.apk 25-Oct-2024 19:10 76856
ocaml-happy-eyeballs-0.3.0-r2.apk 25-Oct-2024 19:10 91534
ocaml-happy-eyeballs-dev-0.3.0-r2.apk 25-Oct-2024 19:10 153062
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk 25-Oct-2024 19:10 45309
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk 25-Oct-2024 19:10 61182
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk 25-Oct-2024 19:10 3270064
ocaml-hex-1.5.0-r2.apk 25-Oct-2024 19:10 33317
ocaml-hex-dev-1.5.0-r2.apk 25-Oct-2024 19:10 55798
ocaml-higlo-0.9-r0.apk 25-Oct-2024 19:10 6551914
ocaml-higlo-dev-0.9-r0.apk 25-Oct-2024 19:10 697402
ocaml-hkdf-1.0.4-r2.apk 25-Oct-2024 19:10 15466
ocaml-hkdf-dev-1.0.4-r2.apk 25-Oct-2024 19:10 18879
ocaml-integers-0.7.0-r2.apk 25-Oct-2024 19:10 138373
ocaml-integers-dev-0.7.0-r2.apk 25-Oct-2024 19:10 283497
ocaml-ipaddr-5.3.1-r2.apk 25-Oct-2024 19:10 347345
ocaml-ipaddr-dev-5.3.1-r2.apk 25-Oct-2024 19:10 577913
ocaml-iri-1.0.0-r0.apk 25-Oct-2024 19:10 1951237
ocaml-iri-dev-1.0.0-r0.apk 25-Oct-2024 19:10 791633
ocaml-iso8601-0.2.6-r0.apk 25-Oct-2024 19:10 57411
ocaml-iso8601-dev-0.2.6-r0.apk 25-Oct-2024 19:10 90321
ocaml-jsonm-1.0.2-r0.apk 25-Oct-2024 19:10 133578
ocaml-jsonm-dev-1.0.2-r0.apk 25-Oct-2024 19:10 72643
ocaml-jsonm-tools-1.0.2-r0.apk 25-Oct-2024 19:10 592038
ocaml-lablgtk3-3.1.2-r3.apk 25-Oct-2024 19:10 8773608
ocaml-lablgtk3-dev-3.1.2-r3.apk 25-Oct-2024 19:10 14635035
ocaml-lablgtk3-extras-3.0.1-r2.apk 25-Oct-2024 19:10 935758
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk 25-Oct-2024 19:10 1613194
ocaml-labltk-8.06.12-r2.apk 25-Oct-2024 19:10 3013514
ocaml-labltk-dev-8.06.12-r2.apk 25-Oct-2024 19:10 1558961
ocaml-lambda-term-3.2.0-r4.apk 25-Oct-2024 19:10 4189790
ocaml-lambda-term-dev-3.2.0-r4.apk 25-Oct-2024 19:10 4044075
ocaml-lambda-term-doc-3.2.0-r4.apk 25-Oct-2024 19:10 9012
ocaml-lambdasoup-0.7.3-r2.apk 25-Oct-2024 19:10 203879
ocaml-lambdasoup-dev-0.7.3-r2.apk 25-Oct-2024 19:10 356881
ocaml-libvirt-0.6.1.7-r0.apk 25-Oct-2024 19:10 181484
ocaml-libvirt-dev-0.6.1.7-r0.apk 25-Oct-2024 19:10 106540
ocaml-libvirt-doc-0.6.1.7-r0.apk 25-Oct-2024 19:10 14057
ocaml-logs-0.7.0-r3.apk 25-Oct-2024 19:10 134085
ocaml-logs-dev-0.7.0-r3.apk 25-Oct-2024 19:10 91162
ocaml-lru-0.3.0-r2.apk 25-Oct-2024 19:10 81688
ocaml-lru-dev-0.3.0-r2.apk 25-Oct-2024 19:10 167831
ocaml-lwd-0.3-r0.apk 25-Oct-2024 19:10 529920
ocaml-lwd-dev-0.3-r0.apk 25-Oct-2024 19:10 1049052
ocaml-lwt-5.7.0-r0.apk 25-Oct-2024 19:10 1320784
ocaml-lwt-dev-5.7.0-r0.apk 25-Oct-2024 19:10 3324245
ocaml-lwt-dllist-1.0.1-r3.apk 25-Oct-2024 19:10 24866
ocaml-lwt-dllist-dev-1.0.1-r3.apk 25-Oct-2024 19:10 41115
ocaml-lwt_log-1.1.1-r5.apk 25-Oct-2024 19:10 143503
ocaml-lwt_log-dev-1.1.1-r5.apk 25-Oct-2024 19:10 264776
ocaml-lwt_ppx-5.7.0-r0.apk 25-Oct-2024 19:10 5405467
ocaml-lwt_react-5.7.0-r0.apk 25-Oct-2024 19:10 127879
ocaml-lwt_ssl-1.2.0-r0.apk 25-Oct-2024 19:10 32477
ocaml-lwt_ssl-dev-1.2.0-r0.apk 25-Oct-2024 19:10 48655
ocaml-magic-mime-1.3.1-r0.apk 25-Oct-2024 19:10 266044
ocaml-magic-mime-dev-1.3.1-r0.apk 25-Oct-2024 19:10 342653
ocaml-markup-1.0.3-r3.apk 25-Oct-2024 19:10 1184404
ocaml-markup-dev-1.0.3-r3.apk 25-Oct-2024 19:10 2244271
ocaml-menhir-20220210-r3.apk 08-Dec-2024 16:19 1831917
ocaml-menhir-dev-20220210-r3.apk 08-Dec-2024 16:19 934084
ocaml-menhir-doc-20220210-r3.apk 08-Dec-2024 16:19 624486
ocaml-merlin-extend-0.6.1-r2.apk 25-Oct-2024 19:10 53962
ocaml-merlin-extend-dev-0.6.1-r2.apk 25-Oct-2024 19:10 93864
ocaml-metrics-0.4.0-r3.apk 25-Oct-2024 19:10 272988
ocaml-metrics-dev-0.4.0-r3.apk 25-Oct-2024 19:10 466915
ocaml-mew-0.1.0-r3.apk 25-Oct-2024 19:10 73777
ocaml-mew-dev-0.1.0-r3.apk 25-Oct-2024 19:10 99454
ocaml-mew_vi-0.5.0-r3.apk 25-Oct-2024 19:10 195712
ocaml-mew_vi-dev-0.5.0-r3.apk 25-Oct-2024 19:10 305055
ocaml-mikmatch-1.0.9-r2.apk 25-Oct-2024 19:10 151137
ocaml-mirage-clock-4.2.0-r2.apk 25-Oct-2024 19:10 34132
ocaml-mirage-clock-dev-4.2.0-r2.apk 25-Oct-2024 19:10 35081
ocaml-mirage-crypto-0.10.6-r3.apk 25-Oct-2024 19:10 961302
ocaml-mirage-crypto-dev-0.10.6-r3.apk 25-Oct-2024 19:10 2723246
ocaml-mirage-flow-3.0.0-r3.apk 25-Oct-2024 19:10 121854
ocaml-mirage-flow-dev-3.0.0-r3.apk 25-Oct-2024 19:10 200870
ocaml-mirage-kv-4.0.1-r3.apk 25-Oct-2024 19:10 22947
ocaml-mirage-kv-dev-4.0.1-r3.apk 25-Oct-2024 19:10 44466
ocaml-mirage-net-4.0.0-r3.apk 25-Oct-2024 19:10 13957
ocaml-mirage-net-dev-4.0.0-r3.apk 25-Oct-2024 19:10 20107
ocaml-mirage-profile-0.9.1-r3.apk 25-Oct-2024 19:10 27854
ocaml-mirage-profile-dev-0.9.1-r3.apk 25-Oct-2024 19:10 32406
ocaml-mirage-random-3.0.0-r3.apk 25-Oct-2024 19:10 7909
ocaml-mirage-random-dev-3.0.0-r3.apk 25-Oct-2024 19:10 5499
ocaml-mirage-time-3.0.0-r4.apk 25-Oct-2024 19:10 14256
ocaml-mirage-time-dev-3.0.0-r4.apk 25-Oct-2024 19:10 8389
ocaml-mmap-1.2.0-r3.apk 25-Oct-2024 19:10 8004
ocaml-mmap-dev-1.2.0-r3.apk 25-Oct-2024 19:10 6680
ocaml-mqtt-0.2.2-r0.apk 25-Oct-2024 19:10 170290
ocaml-mqtt-dev-0.2.2-r0.apk 25-Oct-2024 19:10 266317
ocaml-mtime-1.4.0-r2.apk 25-Oct-2024 19:10 55537
ocaml-mtime-dev-1.4.0-r2.apk 25-Oct-2024 19:10 49748
ocaml-notty-0.2.3-r0.apk 25-Oct-2024 19:10 336851
ocaml-notty-dev-0.2.3-r0.apk 25-Oct-2024 19:10 629576
ocaml-num-1.4-r3.apk 25-Oct-2024 19:10 273890
ocaml-num-dev-1.4-r3.apk 25-Oct-2024 19:10 97202
ocaml-obuild-0.1.11-r0.apk 25-Oct-2024 19:10 1493305
ocaml-ocf-0.8.0-r3.apk 25-Oct-2024 19:10 11228046
ocaml-ocf-dev-0.8.0-r3.apk 25-Oct-2024 19:10 332862
ocaml-ocp-indent-1.8.2-r2.apk 25-Oct-2024 19:10 584643
ocaml-ocp-indent-dev-1.8.2-r2.apk 25-Oct-2024 19:10 653686
ocaml-ocp-index-1.3.6-r0.apk 25-Oct-2024 19:10 500213
ocaml-ocp-index-dev-1.3.6-r0.apk 25-Oct-2024 19:10 541998
ocaml-ocplib-endian-1.2-r3.apk 25-Oct-2024 19:10 169390
ocaml-ocplib-endian-dev-1.2-r3.apk 25-Oct-2024 19:10 270417
ocaml-omake-0.10.6-r0.apk 25-Oct-2024 19:10 2279300
ocaml-omake-doc-0.10.6-r0.apk 25-Oct-2024 19:10 8228
ocaml-omod-0.0.3-r3.apk 25-Oct-2024 19:10 360361
ocaml-omod-bin-0.0.3-r3.apk 25-Oct-2024 19:10 3888317
ocaml-omod-dev-0.0.3-r3.apk 25-Oct-2024 19:10 223360
ocaml-otoml-1.0.5-r0.apk 25-Oct-2024 19:10 470681
ocaml-otoml-dev-1.0.5-r0.apk 25-Oct-2024 19:10 801670
ocaml-otr-0.3.10-r2.apk 25-Oct-2024 19:10 307019
ocaml-otr-dev-0.3.10-r2.apk 25-Oct-2024 19:10 544247
ocaml-ounit-2.2.7-r3.apk 25-Oct-2024 19:10 590422
ocaml-ounit-dev-2.2.7-r3.apk 25-Oct-2024 19:10 1108708
ocaml-parsexp-0.16.0-r0.apk 25-Oct-2024 19:10 410763
ocaml-parsexp-dev-0.16.0-r0.apk 25-Oct-2024 19:10 987546
ocaml-pbkdf-1.2.0-r2.apk 25-Oct-2024 19:10 19217
ocaml-pbkdf-dev-1.2.0-r2.apk 25-Oct-2024 19:10 26098
ocaml-pcre-7.5.0-r4.apk 25-Oct-2024 19:10 159427
ocaml-pcre-dev-7.5.0-r4.apk 25-Oct-2024 19:10 312349
ocaml-ppx_blob-0.8.0-r0.apk 25-Oct-2024 19:10 5305775
ocaml-ppx_blob-dev-0.8.0-r0.apk 25-Oct-2024 19:10 19316
ocaml-ppx_derivers-1.2.1-r2.apk 25-Oct-2024 19:10 10811
ocaml-ppx_derivers-dev-1.2.1-r2.apk 25-Oct-2024 19:10 11620
ocaml-ppx_deriving-5.3.0-r0.apk 25-Oct-2024 19:10 6942598
ocaml-ppx_deriving-dev-5.3.0-r0.apk 25-Oct-2024 19:10 1381171
ocaml-ppx_sexp_conv-0.16.0-r0.apk 25-Oct-2024 19:10 630736
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk 25-Oct-2024 19:10 1208474
ocaml-ppxlib-0.32.0-r0.apk 25-Oct-2024 19:10 15111747
ocaml-ppxlib-dev-0.32.0-r0.apk 25-Oct-2024 19:10 16864933
ocaml-psq-0.2.0-r2.apk 25-Oct-2024 19:10 104236
ocaml-psq-dev-0.2.0-r2.apk 25-Oct-2024 19:10 178113
ocaml-ptime-1.0.0-r2.apk 25-Oct-2024 19:10 124697
ocaml-ptime-dev-1.0.0-r2.apk 25-Oct-2024 19:10 77873
ocaml-ptmap-2.0.5-r3.apk 25-Oct-2024 19:10 57917
ocaml-ptmap-dev-2.0.5-r3.apk 25-Oct-2024 19:10 97083
ocaml-qcheck-0.18.1-r3.apk 25-Oct-2024 19:10 726419
ocaml-qcheck-dev-0.18.1-r3.apk 25-Oct-2024 19:10 1419466
ocaml-qtest-2.11.2-r3.apk 25-Oct-2024 19:10 427909
ocaml-qtest-dev-2.11.2-r3.apk 25-Oct-2024 19:10 3682
ocaml-randomconv-0.1.3-r2.apk 25-Oct-2024 19:10 15368
ocaml-randomconv-dev-0.1.3-r2.apk 25-Oct-2024 19:10 18422
ocaml-re-1.11.0-r1.apk 25-Oct-2024 19:10 572750
ocaml-re-dev-1.11.0-r1.apk 25-Oct-2024 19:10 1009231
ocaml-react-1.2.2-r2.apk 25-Oct-2024 19:10 304249
ocaml-react-dev-1.2.2-r2.apk 25-Oct-2024 19:10 203165
ocaml-reason-3.8.2-r1.apk 25-Oct-2024 19:10 16727493
ocaml-reason-dev-3.8.2-r1.apk 25-Oct-2024 19:10 30197187
ocaml-result-1.5-r2.apk 25-Oct-2024 19:10 9848
ocaml-result-dev-1.5-r2.apk 25-Oct-2024 19:10 8203
ocaml-rresult-0.7.0-r2.apk 25-Oct-2024 19:10 44042
ocaml-rresult-dev-0.7.0-r2.apk 25-Oct-2024 19:10 36530
ocaml-sedlex-3.2-r0.apk 25-Oct-2024 19:10 6232622
ocaml-sedlex-dev-3.2-r0.apk 25-Oct-2024 19:10 1625370
ocaml-seq-0.3.1-r2.apk 25-Oct-2024 19:10 15771
ocaml-seq-dev-0.3.1-r2.apk 25-Oct-2024 19:10 20710
ocaml-sexplib-0.16.0-r0.apk 25-Oct-2024 19:10 520744
ocaml-sexplib-dev-0.16.0-r0.apk 25-Oct-2024 19:10 849499
ocaml-sexplib0-0.16.0-r0.apk 25-Oct-2024 19:10 183610
ocaml-sexplib0-dev-0.16.0-r0.apk 25-Oct-2024 19:10 345650
ocaml-sha-1.15.4-r0.apk 25-Oct-2024 19:10 69276
ocaml-sha-dev-1.15.4-r0.apk 25-Oct-2024 19:10 226885
ocaml-ssl-0.7.0-r0.apk 25-Oct-2024 19:10 103778
ocaml-ssl-dev-0.7.0-r0.apk 25-Oct-2024 19:10 215719
ocaml-stdlib-shims-0.3.0-r2.apk 25-Oct-2024 19:10 4580
ocaml-stk-0.1.0-r0.apk 25-Oct-2024 19:10 6641002
ocaml-stk-dev-0.1.0-r0.apk 25-Oct-2024 19:10 10467011
ocaml-stringext-1.6.0-r2.apk 25-Oct-2024 19:10 47185
ocaml-stringext-dev-1.6.0-r2.apk 25-Oct-2024 19:10 80261
ocaml-tcpip-7.1.2-r3.apk 25-Oct-2024 19:10 1371175
ocaml-tcpip-dev-7.1.2-r3.apk 25-Oct-2024 19:10 2555001
ocaml-tls-0.15.3-r4.apk 25-Oct-2024 19:10 1374456
ocaml-tls-dev-0.15.3-r4.apk 25-Oct-2024 19:10 2492747
ocaml-tophide-1.0.4-r2.apk 25-Oct-2024 19:10 5784
ocaml-topkg-1.0.5-r2.apk 25-Oct-2024 19:10 670452
ocaml-topkg-dev-1.0.5-r2.apk 25-Oct-2024 19:10 427647
ocaml-trie-1.0.0-r2.apk 25-Oct-2024 19:10 17151
ocaml-trie-dev-1.0.0-r2.apk 25-Oct-2024 19:10 26092
ocaml-tsdl-1.0.0-r0.apk 25-Oct-2024 19:10 968720
ocaml-tsdl-dev-1.0.0-r0.apk 25-Oct-2024 19:10 596069
ocaml-tsdl-image-0.6-r0.apk 25-Oct-2024 19:10 53431
ocaml-tsdl-image-dev-0.6-r0.apk 25-Oct-2024 19:10 80232
ocaml-tsdl-ttf-0.6-r0.apk 25-Oct-2024 19:10 68663
ocaml-tsdl-ttf-dev-0.6-r0.apk 25-Oct-2024 19:10 112258
ocaml-uri-4.2.0-r2.apk 25-Oct-2024 19:10 1607358
ocaml-uri-dev-4.2.0-r2.apk 25-Oct-2024 19:10 4534757
ocaml-utop-2.9.1-r4.apk 25-Oct-2024 19:10 357607
ocaml-utop-dev-2.9.1-r4.apk 25-Oct-2024 19:10 781087
ocaml-uucd-14.0.0-r2.apk 25-Oct-2024 19:10 297402
ocaml-uucd-dev-14.0.0-r2.apk 25-Oct-2024 19:10 177034
ocaml-uucp-14.0.0-r2.apk 25-Oct-2024 19:10 6172093
ocaml-uucp-dev-14.0.0-r2.apk 25-Oct-2024 19:10 1299623
ocaml-uuidm-0.9.8-r2.apk 25-Oct-2024 19:10 49978
ocaml-uuidm-dev-0.9.8-r2.apk 25-Oct-2024 19:10 26072
ocaml-uuidm-tools-0.9.8-r2.apk 25-Oct-2024 19:10 590381
ocaml-uunf-14.0.0-r2.apk 25-Oct-2024 19:10 1437472
ocaml-uunf-dev-14.0.0-r2.apk 25-Oct-2024 19:10 272139
ocaml-uuseg-14.0.0-r2.apk 25-Oct-2024 19:10 100980
ocaml-uuseg-dev-14.0.0-r2.apk 25-Oct-2024 19:10 49486
ocaml-uuseg-tools-14.0.0-r2.apk 25-Oct-2024 19:10 1915055
ocaml-uutf-1.0.3-r2.apk 25-Oct-2024 19:10 804408
ocaml-uutf-dev-1.0.3-r2.apk 25-Oct-2024 19:10 58267
ocaml-x509-0.16.0-r2.apk 25-Oct-2024 19:10 904367
ocaml-x509-dev-0.16.0-r2.apk 25-Oct-2024 19:10 1630184
ocaml-xml-light-2.5-r0.apk 25-Oct-2024 19:10 233654
ocaml-xml-light-dev-2.5-r0.apk 25-Oct-2024 19:10 398338
ocaml-xmlm-1.4.0-r2.apk 25-Oct-2024 19:10 692082
ocaml-xmlm-dev-1.4.0-r2.apk 25-Oct-2024 19:10 103974
ocaml-xtmpl-0.19.0-r0.apk 25-Oct-2024 19:10 12553388
ocaml-xtmpl-dev-0.19.0-r0.apk 25-Oct-2024 19:10 798663
ocaml-yojson-2.1.2-r0.apk 25-Oct-2024 19:10 1129766
ocaml-yojson-dev-2.1.2-r0.apk 25-Oct-2024 19:10 1759729
ocaml-zed-3.1.0-r3.apk 25-Oct-2024 19:10 555515
ocaml-zed-dev-3.1.0-r3.apk 25-Oct-2024 19:10 1189577
ocamlnet-4.1.9-r2.apk 25-Oct-2024 19:10 18213438
ocamlnet-dev-4.1.9-r2.apk 25-Oct-2024 19:10 6396289
ocamlnet-tcl-4.1.9-r2.apk 25-Oct-2024 19:10 57696
ocfs2-tools-1.8.7-r4.apk 25-Oct-2024 19:10 1181542
ocfs2-tools-dev-1.8.7-r4.apk 25-Oct-2024 19:10 46025
ocfs2-tools-doc-1.8.7-r4.apk 25-Oct-2024 19:10 71018
ocp-indent-1.8.2-r2.apk 25-Oct-2024 19:10 1559277
ocp-indent-doc-1.8.2-r2.apk 25-Oct-2024 19:10 17382
ocp-indent-emacs-1.8.2-r2.apk 25-Oct-2024 19:10 3818
ocp-indent-vim-1.8.2-r2.apk 25-Oct-2024 19:10 2471
ocp-index-1.3.6-r0.apk 25-Oct-2024 19:10 7899924
ocp-index-doc-1.3.6-r0.apk 25-Oct-2024 19:10 31400
ocp-index-emacs-1.3.6-r0.apk 25-Oct-2024 19:10 6444
ocp-index-vim-1.3.6-r0.apk 25-Oct-2024 19:10 3134
octoprint-1.10.3-r0.apk 25-Dec-2024 22:04 3179033
octoprint-creality2xfix-0.0.4-r2.apk 25-Oct-2024 19:10 4822
octoprint-creality2xfix-pyc-0.0.4-r2.apk 25-Oct-2024 19:10 3413
octoprint-filecheck-2024.3.27-r1.apk 25-Oct-2024 19:10 28586
octoprint-filecheck-pyc-2024.3.27-r1.apk 25-Oct-2024 19:10 11569
octoprint-firmwarecheck-2021.10.11-r2.apk 25-Oct-2024 19:10 30008
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk 25-Oct-2024 19:10 18019
octoprint-openrc-1.10.3-r0.apk 25-Dec-2024 22:04 1749
octoprint-pisupport-2023.10.10-r1.apk 25-Oct-2024 19:10 31576
octoprint-pisupport-pyc-2023.10.10-r1.apk 25-Oct-2024 19:10 13121
octoprint-pyc-1.10.3-r0.apk 25-Dec-2024 22:04 1297614
ode-0.16.6-r0.apk 26-Jan-2025 06:27 592015
odin-0.2025.02-r0.apk 12-Feb-2025 17:34 2948852
odin-doc-0.2025.02-r0.apk 12-Feb-2025 17:34 4887
odin-vendor-0.2025.02-r0.apk 12-Feb-2025 17:34 4601329
oil-0.21.0-r0.apk 25-Oct-2024 19:10 1532160
oil-doc-0.21.0-r0.apk 25-Oct-2024 19:10 7163
oils-for-unix-0.27.0-r0.apk 27-Feb-2025 17:09 615751
oils-for-unix-bash-0.27.0-r0.apk 27-Feb-2025 17:09 1494
oils-for-unix-binsh-0.27.0-r0.apk 27-Feb-2025 17:09 1496
oils-for-unix-doc-0.27.0-r0.apk 27-Feb-2025 17:09 7116
ol-2.4-r0.apk 25-Oct-2024 19:10 1005596
ol-doc-2.4-r0.apk 25-Oct-2024 19:10 2634
olab-0.1.8-r0.apk 25-Oct-2024 19:10 4678338
olsrd-0.9.8-r3.apk 25-Oct-2024 19:10 167383
olsrd-doc-0.9.8-r3.apk 25-Oct-2024 19:10 25672
olsrd-openrc-0.9.8-r3.apk 25-Oct-2024 19:10 1921
olsrd-plugins-0.9.8-r3.apk 25-Oct-2024 19:10 202388
ombi-4.44.1-r0.apk 25-Oct-2024 19:10 20367487
ombi-openrc-4.44.1-r0.apk 25-Oct-2024 19:10 1956
onnxruntime-1.20.2-r0.apk 17-Feb-2025 15:07 9555267
onnxruntime-dev-1.20.2-r0.apk 17-Feb-2025 15:07 99569
opa-1.1.0-r1.apk 12-Feb-2025 17:34 10004302
opa-bash-completion-1.1.0-r1.apk 12-Feb-2025 17:34 5121
opa-doc-1.1.0-r1.apk 12-Feb-2025 17:34 23287
opa-fish-completion-1.1.0-r1.apk 12-Feb-2025 17:34 4352
opa-zsh-completion-1.1.0-r1.apk 12-Feb-2025 17:34 4072
opcr-policy-0.3.0-r1.apk 12-Feb-2025 17:34 8589601
openapi-tui-0.9.4-r1.apk 25-Oct-2024 19:10 4516958
openapi-validator-1.19.2-r0.apk 25-Oct-2024 19:10 10422568
opendht-3.1.11-r0.apk 27-Jan-2025 20:56 186609
opendht-dev-3.1.11-r0.apk 27-Jan-2025 20:56 72587
opendht-doc-3.1.11-r0.apk 27-Jan-2025 20:56 3095
opendht-libs-3.1.11-r0.apk 27-Jan-2025 20:56 578856
openfire-4.8.1-r1.apk 04-Dec-2024 11:57 48006455
openfire-doc-4.8.1-r1.apk 04-Dec-2024 11:57 3963697
openfire-openrc-4.8.1-r1.apk 04-Dec-2024 11:57 1961
openfire-plugins-4.8.1-r1.apk 04-Dec-2024 11:57 74087
openfortivpn-1.22.1-r0.apk 11-Dec-2024 21:34 41961
openfortivpn-doc-1.22.1-r0.apk 11-Dec-2024 21:34 6447
openfpgaloader-0.11.0-r0.apk 25-Oct-2024 19:10 2042194
openjdk22-22.0.2_p9-r3.apk 17-Feb-2025 15:07 1509
openjdk22-demos-22.0.2_p9-r3.apk 17-Feb-2025 15:07 5463985
openjdk22-doc-22.0.2_p9-r3.apk 17-Feb-2025 15:07 191502
openjdk22-jdk-22.0.2_p9-r3.apk 17-Feb-2025 15:07 6961233
openjdk22-jmods-22.0.2_p9-r3.apk 17-Feb-2025 15:07 79110246
openjdk22-jre-22.0.2_p9-r3.apk 17-Feb-2025 15:07 1043408
openjdk22-jre-headless-22.0.2_p9-r3.apk 17-Feb-2025 15:08 63818507
openjdk22-src-22.0.2_p9-r3.apk 17-Feb-2025 15:08 50451936
openjdk22-static-libs-22.0.2_p9-r3.apk 17-Feb-2025 15:08 21093232
openjdk23-23.0.2_p7-r1.apk 17-Feb-2025 15:08 1508
openjdk23-demos-23.0.2_p7-r1.apk 17-Feb-2025 15:08 5471561
openjdk23-doc-23.0.2_p7-r1.apk 17-Feb-2025 15:08 192846
openjdk23-jdk-23.0.2_p7-r1.apk 17-Feb-2025 15:08 7116101
openjdk23-jmods-23.0.2_p7-r1.apk 17-Feb-2025 15:08 83131819
openjdk23-jre-23.0.2_p7-r1.apk 17-Feb-2025 15:08 1044058
openjdk23-jre-headless-23.0.2_p7-r1.apk 17-Feb-2025 15:08 68359868
openjdk23-src-23.0.2_p7-r1.apk 17-Feb-2025 15:08 50270225
openjdk23-static-libs-23.0.2_p7-r1.apk 17-Feb-2025 15:08 20389892
openmg-0.0.9-r0.apk 25-Oct-2024 19:10 106407
openocd-esp32-0_git20230921-r5.apk 25-Oct-2024 19:10 1780511
openocd-esp32-dev-0_git20230921-r5.apk 25-Oct-2024 19:10 3478
openocd-esp32-doc-0_git20230921-r5.apk 25-Oct-2024 19:10 3367
openocd-esp32-udev-rules-0_git20230921-r5.apk 25-Oct-2024 19:10 3500
openocd-git-0_git20240113-r1.apk 25-Oct-2024 19:10 1679038
openocd-git-cmd-openocd-0_git20240113-r1.apk 25-Oct-2024 19:10 1539
openocd-git-dbg-0_git20240113-r1.apk 25-Oct-2024 19:10 4259807
openocd-git-dev-0_git20240113-r1.apk 25-Oct-2024 19:10 3496
openocd-git-doc-0_git20240113-r1.apk 25-Oct-2024 19:10 3373
openocd-git-udev-rules-0_git20240113-r1.apk 25-Oct-2024 19:10 3497
openocd-riscv-0_git20230104-r2.apk 25-Oct-2024 19:10 1549913
openocd-riscv-dev-0_git20230104-r2.apk 25-Oct-2024 19:10 3812
openocd-riscv-doc-0_git20230104-r2.apk 25-Oct-2024 19:10 3367
openocd-riscv-udev-rules-0_git20230104-r2.apk 25-Oct-2024 19:10 3349
openra-20231010-r0.apk 25-Oct-2024 19:10 24454416
openscap-daemon-0.1.10-r9.apk 25-Oct-2024 19:10 61605
openscap-daemon-doc-0.1.10-r9.apk 25-Oct-2024 19:10 17965
openscap-daemon-pyc-0.1.10-r9.apk 25-Oct-2024 19:10 104324
openslide-3.4.1-r3.apk 25-Oct-2024 19:10 82989
openslide-dev-3.4.1-r3.apk 25-Oct-2024 19:10 7043
openslide-doc-3.4.1-r3.apk 25-Oct-2024 19:10 5065
openslide-tools-3.4.1-r3.apk 25-Oct-2024 19:10 14127
openswitcher-0.5.0-r4.apk 25-Oct-2024 19:10 151966
openswitcher-proxy-0.5.0-r4.apk 25-Oct-2024 19:10 9604
openswitcher-proxy-openrc-0.5.0-r4.apk 25-Oct-2024 19:10 2084
opentelemetry-cpp-1.19.0-r0.apk 24-Feb-2025 21:51 590865
opentelemetry-cpp-dev-1.19.0-r0.apk 24-Feb-2025 21:51 503926
opentelemetry-cpp-exporter-otlp-common-1.19.0-r..> 24-Feb-2025 21:51 42268
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r0.apk 24-Feb-2025 21:51 55795
opentelemetry-cpp-exporter-otlp-http-1.19.0-r0.apk 24-Feb-2025 21:51 84335
opentelemetry-cpp-exporter-zipkin-1.19.0-r0.apk 24-Feb-2025 21:51 48230
openttd-14.1-r0.apk 25-Oct-2024 19:10 6727920
openttd-doc-14.1-r0.apk 25-Oct-2024 19:10 270407
openttd-lang-14.1-r0.apk 25-Oct-2024 19:10 3652808
openttd-opengfx-7.1-r0.apk 25-Oct-2024 19:10 3511569
openttd-openmsx-0.4.2-r0.apk 25-Oct-2024 19:10 132202
openttd-opensfx-1.0.3-r0.apk 25-Oct-2024 19:10 11520013
openvpn3-3.8.5-r1.apk 17-Feb-2025 15:08 386284
openvpn3-dev-3.8.5-r1.apk 17-Feb-2025 15:08 683024
openwsman-2.8.1-r0.apk 13-Feb-2025 07:44 49452
openwsman-dev-2.8.1-r0.apk 13-Feb-2025 07:44 56997
openwsman-doc-2.8.1-r0.apk 13-Feb-2025 07:44 2397
openwsman-libs-2.8.1-r0.apk 13-Feb-2025 07:44 325407
opkg-0.7.0-r0.apk 25-Oct-2024 19:10 10487
opkg-dev-0.7.0-r0.apk 25-Oct-2024 19:10 118436
opkg-doc-0.7.0-r0.apk 25-Oct-2024 19:10 7835
opkg-libs-0.7.0-r0.apk 25-Oct-2024 19:10 81370
opkg-utils-0.7.0-r0.apk 25-Oct-2024 19:10 25804
opkg-utils-doc-0.7.0-r0.apk 25-Oct-2024 19:10 3704
opmsg-1.84-r1.apk 25-Oct-2024 19:10 255958
optee-client-3.20.0-r0.apk 25-Oct-2024 19:10 21533
optee-client-dev-3.20.0-r0.apk 25-Oct-2024 19:10 29283
optee-client-libs-3.20.0-r0.apk 25-Oct-2024 19:10 40280
orage-4.20.0-r0.apk 01-Feb-2025 16:09 585439
orage-lang-4.20.0-r0.apk 01-Feb-2025 16:09 1267693
osmctools-0.9-r0.apk 25-Oct-2024 19:10 123053
otf-atkinson-hyperlegible-2020.0514-r1.apk 25-Oct-2024 19:10 104063
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk 25-Oct-2024 19:10 48831
otpclient-4.0.2-r0.apk 25-Oct-2024 19:10 122166
otpclient-doc-4.0.2-r0.apk 25-Oct-2024 19:10 3733
otrs-6.0.48-r2.apk 25-Oct-2024 19:10 30073387
otrs-apache2-6.0.48-r2.apk 25-Oct-2024 19:10 4124
otrs-bash-completion-6.0.48-r2.apk 25-Oct-2024 19:10 2461
otrs-dev-6.0.48-r2.apk 25-Oct-2024 19:10 4058537
otrs-doc-6.0.48-r2.apk 25-Oct-2024 19:10 814224
otrs-fastcgi-6.0.48-r2.apk 25-Oct-2024 19:10 1812
otrs-nginx-6.0.48-r2.apk 25-Oct-2024 19:10 1835
otrs-openrc-6.0.48-r2.apk 25-Oct-2024 19:10 1940
otrs-setup-6.0.48-r2.apk 25-Oct-2024 19:10 109918
ouch-0.5.1-r0.apk 25-Oct-2024 19:10 1137996
ouch-bash-completion-0.5.1-r0.apk 25-Oct-2024 19:10 2348
ouch-doc-0.5.1-r0.apk 25-Oct-2024 19:10 3755
ouch-fish-completion-0.5.1-r0.apk 25-Oct-2024 19:10 2424
ouch-zsh-completion-0.5.1-r0.apk 25-Oct-2024 19:10 2933
ovn-24.03.1-r0.apk 25-Oct-2024 19:10 7398879
ovn-dbg-24.03.1-r0.apk 25-Oct-2024 19:10 27020990
ovn-dev-24.03.1-r0.apk 25-Oct-2024 19:10 10863258
ovn-doc-24.03.1-r0.apk 25-Oct-2024 19:10 524213
ovn-openrc-24.03.1-r0.apk 25-Oct-2024 19:10 4248
ovos-0.0.1-r1.apk 25-Oct-2024 19:10 1501
ovos-audio-0.3.1-r0.apk 21-Nov-2024 13:31 138812
ovos-audio-pyc-0.3.1-r0.apk 21-Nov-2024 13:31 36917
ovos-core-0.2.17-r0.apk 25-Nov-2024 12:41 378024
ovos-core-pyc-0.2.17-r0.apk 25-Nov-2024 12:41 211360
ovos-dinkum-listener-0.3.4-r0.apk 21-Nov-2024 13:31 111094
ovos-dinkum-listener-pyc-0.3.4-r0.apk 21-Nov-2024 13:31 57790
ovos-gui-1.1.0-r0.apk 25-Nov-2024 12:41 31431
ovos-gui-pyc-1.1.0-r0.apk 25-Nov-2024 12:41 39305
ovos-messagebus-0.0.8-r0.apk 25-Nov-2024 12:41 10039
ovos-messagebus-pyc-0.0.8-r0.apk 25-Nov-2024 12:41 6804
ovos-phal-0.2.7-r0.apk 21-Nov-2024 13:31 10555
ovos-phal-pyc-0.2.7-r0.apk 21-Nov-2024 13:31 7350
ovos-skill-hello-world-0.0.4_alpha3-r1.apk 25-Oct-2024 19:10 46732
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk 25-Oct-2024 19:10 4062
ovpncc-0.1_rc1-r0.apk 25-Oct-2024 19:10 12230
ovpncc-doc-0.1_rc1-r0.apk 25-Oct-2024 19:10 6669
oxygen-icons-6.1.0-r0.apk 25-Oct-2024 19:10 33134389
p0f-3.09b-r3.apk 25-Oct-2024 19:10 78315
p0f-doc-3.09b-r3.apk 25-Oct-2024 19:10 25873
p910nd-0.97-r2.apk 25-Oct-2024 19:10 7887
p910nd-doc-0.97-r2.apk 25-Oct-2024 19:10 3055
p910nd-openrc-0.97-r2.apk 25-Oct-2024 19:10 1882
pacparser-1.4.5-r1.apk 25-Oct-2024 19:10 718729
pacparser-dev-1.4.5-r1.apk 25-Oct-2024 19:10 3686
pacparser-doc-1.4.5-r1.apk 25-Oct-2024 19:10 18181
pam-krb5-4.11-r1.apk 25-Oct-2024 19:10 23633
pam-krb5-doc-4.11-r1.apk 25-Oct-2024 19:10 24190
pam_sqlite3-1.0.2-r2.apk 25-Oct-2024 19:10 9193
pamtester-0.1.2-r4.apk 25-Oct-2024 19:10 9151
pamtester-doc-0.1.2-r4.apk 25-Oct-2024 19:10 2957
pantalaimon-0.10.5-r4.apk 25-Oct-2024 19:10 45837
pantalaimon-doc-0.10.5-r4.apk 25-Oct-2024 19:10 6535
pantalaimon-pyc-0.10.5-r4.apk 25-Oct-2024 19:10 84907
pantalaimon-ui-0.10.5-r4.apk 25-Oct-2024 19:10 1761
paperde-0.2.1-r2.apk 25-Oct-2024 19:10 668064
paperde-dev-0.2.1-r2.apk 25-Oct-2024 19:10 5282
paperkey-1.6-r2.apk 25-Oct-2024 19:10 16992
paperkey-doc-1.6-r2.apk 25-Oct-2024 19:10 4619
paprefs-1.2-r2.apk 22-Nov-2024 22:11 31512
paprefs-lang-1.2-r2.apk 22-Nov-2024 22:11 38796
par-1.53.0-r1.apk 25-Oct-2024 19:10 14495
par-doc-1.53.0-r1.apk 25-Oct-2024 19:10 31053
par2cmdline-turbo-1.2.0-r0.apk 10-Dec-2024 16:35 236256
par2cmdline-turbo-doc-1.2.0-r0.apk 10-Dec-2024 16:35 5476
parcellite-1.2.5-r0.apk 25-Oct-2024 19:10 230383
parcellite-doc-1.2.5-r0.apk 25-Oct-2024 19:10 25837
parcellite-lang-1.2.5-r0.apk 25-Oct-2024 19:10 49948
pari-2.17.1-r0.apk 24-Dec-2024 17:15 596454
pari-dev-2.17.1-r0.apk 24-Dec-2024 17:15 108413
pari-doc-2.17.1-r0.apk 24-Dec-2024 17:15 945950
pari-libs-2.17.1-r0.apk 24-Dec-2024 17:15 4203184
parse-changelog-0.6.8-r0.apk 25-Oct-2024 19:10 544450
pash-2.3.0-r2.apk 25-Oct-2024 19:10 4379
pass2csv-1.1.1-r1.apk 25-Oct-2024 19:10 8569
pass2csv-pyc-1.1.1-r1.apk 25-Oct-2024 19:10 7651
passage-1.7.4_alpha2-r0.apk 24-Dec-2024 11:03 8253
passage-bash-completion-1.7.4_alpha2-r0.apk 24-Dec-2024 11:03 3030
passage-fish-completion-1.7.4_alpha2-r0.apk 24-Dec-2024 11:03 2778
passage-zsh-completion-1.7.4_alpha2-r0.apk 24-Dec-2024 11:03 3035
pastel-0.10.0-r0.apk 25-Oct-2024 19:10 396331
pastel-bash-completion-0.10.0-r0.apk 25-Oct-2024 19:10 3096
pastel-fish-completion-0.10.0-r0.apk 25-Oct-2024 19:10 3301
pastel-zsh-completion-0.10.0-r0.apk 25-Oct-2024 19:10 4990
pasystray-0.8.2-r0.apk 25-Oct-2024 19:10 47698
pasystray-doc-0.8.2-r0.apk 25-Oct-2024 19:10 3413
pathvector-6.3.2-r10.apk 12-Feb-2025 17:34 3791051
pcl-1.14.1-r0.apk 17-Feb-2025 15:08 488760
pcl-dev-1.14.1-r0.apk 17-Feb-2025 15:08 396175
pcl-libs-1.14.1-r0.apk 17-Feb-2025 15:08 1307306
pdal-python-plugins-1.6.2-r0.apk 13-Jan-2025 06:32 232081
pdf2svg-0.2.3-r1.apk 25-Oct-2024 19:10 4878
pdfcpu-0.9.1-r2.apk 12-Feb-2025 17:34 4425228
pdfcrack-0.20-r0.apk 25-Oct-2024 19:10 25646
pdm-2.18.2-r0.apk 25-Oct-2024 19:10 232712
pdm-pyc-2.18.2-r0.apk 25-Oct-2024 19:10 493916
pebble-le-0.3.0-r2.apk 14-Dec-2024 19:38 65030
pebble-le-dev-0.3.0-r2.apk 14-Dec-2024 19:38 43294
pebble-le-doc-0.3.0-r2.apk 14-Dec-2024 19:38 3770
peervpn-0.044-r5.apk 25-Oct-2024 19:10 41447
peervpn-openrc-0.044-r5.apk 25-Oct-2024 19:10 1822
peg-0.1.18-r1.apk 25-Oct-2024 19:10 37202
peg-doc-0.1.18-r1.apk 25-Oct-2024 19:10 13989
pegasus-frontend-16_alpha-r0.apk 25-Oct-2024 19:10 1292735
pegasus-frontend-doc-16_alpha-r0.apk 25-Oct-2024 19:10 16617
percona-toolkit-3.5.4-r1.apk 25-Oct-2024 19:10 1862145
percona-toolkit-doc-3.5.4-r1.apk 25-Oct-2024 19:10 304664
perl-adapter-async-0.019-r0.apk 25-Oct-2024 19:10 8327
perl-adapter-async-doc-0.019-r0.apk 25-Oct-2024 19:10 17172
perl-algorithm-backoff-0.010-r0.apk 25-Oct-2024 19:10 9815
perl-algorithm-backoff-doc-0.010-r0.apk 25-Oct-2024 19:10 30181
perl-algorithm-c3-0.11-r1.apk 25-Oct-2024 19:10 5794
perl-algorithm-c3-doc-0.11-r1.apk 25-Oct-2024 19:10 5221
perl-algorithm-cron-0.10-r4.apk 25-Oct-2024 19:10 6253
perl-algorithm-cron-doc-0.10-r4.apk 25-Oct-2024 19:10 4708
perl-algorithm-evolutionary-0.82.1-r0.apk 25-Oct-2024 19:10 80623
perl-algorithm-evolutionary-doc-0.82.1-r0.apk 25-Oct-2024 19:10 151277
perl-algorithm-permute-0.17-r0.apk 25-Oct-2024 19:10 12399
perl-algorithm-permute-doc-0.17-r0.apk 25-Oct-2024 19:10 5152
perl-aliased-0.34-r4.apk 25-Oct-2024 19:10 5793
perl-aliased-doc-0.34-r4.apk 25-Oct-2024 19:10 5805
perl-anyevent-dns-etchosts-0.0105-r0.apk 25-Oct-2024 19:10 5231
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk 25-Oct-2024 19:10 4078
perl-anyevent-future-0.05-r0.apk 25-Oct-2024 19:10 5284
perl-anyevent-future-doc-0.05-r0.apk 25-Oct-2024 19:10 5554
perl-anyevent-riperedis-0.48-r0.apk 25-Oct-2024 19:10 12684
perl-anyevent-riperedis-doc-0.48-r0.apk 25-Oct-2024 19:10 10552
perl-archive-extract-0.88-r1.apk 25-Oct-2024 19:10 16128
perl-archive-extract-doc-0.88-r1.apk 25-Oct-2024 19:10 6993
perl-autobox-3.0.2-r0.apk 25-Oct-2024 19:10 19373
perl-autobox-doc-3.0.2-r0.apk 25-Oct-2024 19:10 9059
perl-b-hooks-op-check-0.22-r0.apk 25-Oct-2024 19:10 6964
perl-b-hooks-op-check-doc-0.22-r0.apk 25-Oct-2024 19:10 3794
perl-barcode-zbar-0.10-r3.apk 25-Oct-2024 19:10 29009
perl-barcode-zbar-doc-0.10-r3.apk 25-Oct-2024 19:10 12862
perl-bareword-filehandles-0.007-r0.apk 25-Oct-2024 19:10 6224
perl-bareword-filehandles-doc-0.007-r0.apk 25-Oct-2024 19:10 3252
perl-bind-config-parser-0.01-r5.apk 25-Oct-2024 19:10 3966
perl-bind-config-parser-doc-0.01-r5.apk 25-Oct-2024 19:10 3716
perl-bsd-resource-1.2911-r10.apk 25-Oct-2024 19:10 19936
perl-bsd-resource-doc-1.2911-r10.apk 25-Oct-2024 19:10 8045
perl-bytes-random-secure-0.29-r0.apk 25-Oct-2024 19:10 14662
perl-bytes-random-secure-doc-0.29-r0.apk 25-Oct-2024 19:10 12448
perl-cache-lru-0.04-r0.apk 25-Oct-2024 19:10 3036
perl-cache-lru-doc-0.04-r0.apk 25-Oct-2024 19:10 3296
perl-cairo-1.109-r4.apk 25-Oct-2024 19:10 73052
perl-cairo-doc-1.109-r4.apk 25-Oct-2024 19:10 14247
perl-cairo-gobject-1.005-r4.apk 25-Oct-2024 19:10 6826
perl-cairo-gobject-doc-1.005-r4.apk 25-Oct-2024 19:10 3020
perl-cgi-expand-2.05-r4.apk 25-Oct-2024 19:10 7036
perl-cgi-expand-doc-2.05-r4.apk 25-Oct-2024 19:10 6310
perl-check-unitcheck-0.13-r1.apk 25-Oct-2024 19:10 6378
perl-check-unitcheck-doc-0.13-r1.apk 25-Oct-2024 19:10 3643
perl-class-accessor-grouped-0.10014-r2.apk 25-Oct-2024 19:10 12307
perl-class-accessor-grouped-doc-0.10014-r2.apk 25-Oct-2024 19:10 7658
perl-class-c3-0.35-r1.apk 25-Oct-2024 19:10 9684
perl-class-c3-componentised-1.001002-r2.apk 25-Oct-2024 19:10 5659
perl-class-c3-componentised-doc-1.001002-r2.apk 25-Oct-2024 19:10 5466
perl-class-c3-doc-0.35-r1.apk 25-Oct-2024 19:10 9501
perl-class-inner-0.200001-r5.apk 25-Oct-2024 19:10 4044
perl-class-inner-doc-0.200001-r5.apk 25-Oct-2024 19:10 4211
perl-cli-osprey-0.08-r0.apk 28-Dec-2024 04:54 13051
perl-cli-osprey-doc-0.08-r0.apk 28-Dec-2024 04:54 12341
perl-color-ansi-util-0.165-r0.apk 25-Oct-2024 19:10 7460
perl-color-ansi-util-doc-0.165-r0.apk 25-Oct-2024 19:10 5285
perl-color-rgb-util-0.607-r0.apk 25-Oct-2024 19:10 9586
perl-color-rgb-util-doc-0.607-r0.apk 25-Oct-2024 19:10 7566
perl-conf-libconfig-1.0.3-r0.apk 25-Oct-2024 19:10 23298
perl-conf-libconfig-doc-1.0.3-r0.apk 25-Oct-2024 19:10 5574
perl-constant-defer-6-r5.apk 25-Oct-2024 19:10 7583
perl-constant-defer-doc-6-r5.apk 25-Oct-2024 19:10 7116
perl-constant-generate-0.17-r5.apk 25-Oct-2024 19:10 8966
perl-constant-generate-doc-0.17-r5.apk 25-Oct-2024 19:10 7217
perl-context-preserve-0.03-r4.apk 25-Oct-2024 19:10 3958
perl-context-preserve-doc-0.03-r4.apk 25-Oct-2024 19:10 4318
perl-cpan-changes-0.500004-r0.apk 25-Oct-2024 19:10 13981
perl-cpan-changes-doc-0.500004-r0.apk 25-Oct-2024 19:10 18670
perl-crypt-random-seed-0.03-r0.apk 25-Oct-2024 19:10 11506
perl-crypt-random-seed-doc-0.03-r0.apk 25-Oct-2024 19:10 9019
perl-crypt-saltedhash-0.09-r5.apk 25-Oct-2024 19:10 7117
perl-crypt-saltedhash-doc-0.09-r5.apk 25-Oct-2024 19:10 6515
perl-css-object-0.2.0-r0.apk 25-Oct-2024 19:10 23303
perl-css-object-doc-0.2.0-r0.apk 25-Oct-2024 19:10 33339
perl-daemon-control-0.001010-r2.apk 25-Oct-2024 19:10 12678
perl-daemon-control-doc-0.001010-r2.apk 25-Oct-2024 19:10 8454
perl-dancer-plugin-auth-extensible-1.00-r5.apk 25-Oct-2024 19:10 15676
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk 25-Oct-2024 19:10 15170
perl-dancer-plugin-dbic-0.2104-r5.apk 25-Oct-2024 19:10 5041
perl-dancer-plugin-dbic-doc-0.2104-r5.apk 25-Oct-2024 19:10 5481
perl-dancer-plugin-passphrase-2.0.1-r4.apk 25-Oct-2024 19:10 9934
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk 25-Oct-2024 19:10 8662
perl-dancer-session-cookie-0.30-r2.apk 25-Oct-2024 19:10 5594
perl-dancer-session-cookie-doc-0.30-r2.apk 25-Oct-2024 19:10 4287
perl-dancer2-1.1.2-r0.apk 28-Dec-2024 04:54 166950
perl-dancer2-doc-1.1.2-r0.apk 28-Dec-2024 04:54 307993
perl-data-checks-0.10-r0.apk 25-Oct-2024 19:10 23065
perl-data-checks-doc-0.10-r0.apk 25-Oct-2024 19:10 8394
perl-data-validate-domain-0.15-r0.apk 25-Oct-2024 19:10 5983
perl-data-validate-domain-doc-0.15-r0.apk 25-Oct-2024 19:10 5602
perl-data-validate-ip-0.31-r1.apk 25-Oct-2024 19:10 9035
perl-data-validate-ip-doc-0.31-r1.apk 25-Oct-2024 19:10 5997
perl-database-async-0.019-r0.apk 25-Oct-2024 19:10 23359
perl-database-async-doc-0.019-r0.apk 25-Oct-2024 19:10 29768
perl-database-async-engine-postgresql-1.005-r0.apk 25-Oct-2024 19:10 14279
perl-database-async-engine-postgresql-doc-1.005..> 25-Oct-2024 19:10 9540
perl-datetime-format-atom-1.8.0-r0.apk 05-Jan-2025 15:14 3229
perl-datetime-format-atom-doc-1.8.0-r0.apk 05-Jan-2025 15:14 3861
perl-datetime-format-flexible-0.37-r0.apk 31-Dec-2024 13:27 18481
perl-datetime-format-flexible-doc-0.37-r0.apk 31-Dec-2024 13:27 12411
perl-datetime-format-rfc3339-1.10.0-r0.apk 05-Jan-2025 15:14 4495
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk 05-Jan-2025 15:14 4236
perl-datetime-timezone-alias-0.06-r0.apk 25-Oct-2024 19:10 2595
perl-datetime-timezone-alias-doc-0.06-r0.apk 25-Oct-2024 19:10 7809
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk 25-Oct-2024 19:10 12092
perl-datetime-timezone-catalog-extend-doc-0.3.3..> 25-Oct-2024 19:10 15394
perl-dbicx-sugar-0.0200-r5.apk 25-Oct-2024 19:10 6032
perl-dbicx-sugar-doc-0.0200-r5.apk 25-Oct-2024 19:10 5461
perl-dbix-class-0.082844-r0.apk 16-Jan-2025 17:26 364007
perl-dbix-class-candy-0.005004-r0.apk 30-Oct-2024 05:59 8060
perl-dbix-class-candy-doc-0.005004-r0.apk 30-Oct-2024 05:59 9712
perl-dbix-class-doc-0.082844-r0.apk 16-Jan-2025 17:26 430595
perl-dbix-class-helpers-2.037000-r0.apk 20-Nov-2024 00:45 48860
perl-dbix-class-helpers-doc-2.037000-r0.apk 20-Nov-2024 00:45 124276
perl-dbix-connector-0.60-r0.apk 30-Dec-2024 06:37 15098
perl-dbix-connector-doc-0.60-r0.apk 30-Dec-2024 06:37 22836
perl-dbix-datasource-0.02-r5.apk 25-Oct-2024 19:10 4400
perl-dbix-datasource-doc-0.02-r5.apk 25-Oct-2024 19:10 7717
perl-dbix-introspector-0.001005-r4.apk 25-Oct-2024 19:10 8244
perl-dbix-introspector-doc-0.001005-r4.apk 25-Oct-2024 19:10 8660
perl-dbix-lite-0.36-r0.apk 30-Dec-2024 06:37 18447
perl-dbix-lite-doc-0.36-r0.apk 30-Dec-2024 06:37 17937
perl-devel-confess-0.009004-r0.apk 25-Oct-2024 19:10 11698
perl-devel-confess-doc-0.009004-r0.apk 25-Oct-2024 19:10 6813
perl-devel-leak-0.03-r13.apk 25-Oct-2024 19:10 7381
perl-devel-leak-doc-0.03-r13.apk 25-Oct-2024 19:10 3364
perl-devel-refcount-0.10-r1.apk 25-Oct-2024 19:10 6492
perl-devel-refcount-doc-0.10-r1.apk 25-Oct-2024 19:10 4345
perl-digest-bcrypt-1.212-r1.apk 25-Oct-2024 19:10 5711
perl-digest-bcrypt-doc-1.212-r1.apk 25-Oct-2024 19:10 5302
perl-digest-crc-0.24-r1.apk 25-Oct-2024 19:10 9943
perl-digest-crc-doc-0.24-r1.apk 25-Oct-2024 19:10 3259
perl-dns-unbound-0.29-r1.apk 25-Oct-2024 19:10 24124
perl-dns-unbound-anyevent-0.29-r1.apk 25-Oct-2024 19:10 2396
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk 25-Oct-2024 19:10 1978
perl-dns-unbound-doc-0.29-r1.apk 25-Oct-2024 19:10 15641
perl-dns-unbound-ioasync-0.29-r1.apk 25-Oct-2024 19:10 2474
perl-dns-unbound-mojo-0.29-r1.apk 25-Oct-2024 19:10 2740
perl-email-abstract-3.010-r0.apk 25-Oct-2024 19:10 7833
perl-email-abstract-doc-3.010-r0.apk 25-Oct-2024 19:10 13204
perl-email-mime-attachment-stripper-1.317-r5.apk 25-Oct-2024 19:10 4064
perl-email-mime-attachment-stripper-doc-1.317-r..> 25-Oct-2024 19:10 3904
perl-email-reply-1.204-r5.apk 25-Oct-2024 19:10 6289
perl-email-reply-doc-1.204-r5.apk 25-Oct-2024 19:10 4917
perl-ev-hiredis-0.07-r1.apk 25-Oct-2024 19:10 12930
perl-ev-hiredis-doc-0.07-r1.apk 25-Oct-2024 19:10 4272
perl-extutils-makemaker-7.70-r2.apk 25-Oct-2024 19:10 178933
perl-extutils-xsbuilder-0.28-r5.apk 25-Oct-2024 19:10 44095
perl-extutils-xsbuilder-doc-0.28-r5.apk 25-Oct-2024 19:10 21644
perl-feed-find-0.13-r0.apk 25-Oct-2024 19:10 3949
perl-feed-find-doc-0.13-r0.apk 25-Oct-2024 19:10 3829
perl-ffi-c-0.15-r0.apk 25-Oct-2024 19:10 20350
perl-ffi-c-doc-0.15-r0.apk 25-Oct-2024 19:10 29284
perl-ffi-platypus-2.10-r0.apk 19-Dec-2024 05:41 179812
perl-ffi-platypus-doc-2.10-r0.apk 19-Dec-2024 05:41 149649
perl-ffi-platypus-type-enum-0.06-r0.apk 25-Oct-2024 19:10 5331
perl-ffi-platypus-type-enum-doc-0.06-r0.apk 25-Oct-2024 19:10 5284
perl-file-mmagic-xs-0.09008-r4.apk 25-Oct-2024 19:10 30122
perl-file-mmagic-xs-doc-0.09008-r4.apk 25-Oct-2024 19:10 4300
perl-file-rename-2.02-r0.apk 25-Oct-2024 19:10 7720
perl-file-rename-doc-2.02-r0.apk 25-Oct-2024 19:10 12373
perl-flowd-0.9.1-r10.apk 25-Oct-2024 19:10 21696
perl-flowd-doc-0.9.1-r10.apk 25-Oct-2024 19:10 3284
perl-freezethaw-0.5001-r2.apk 25-Oct-2024 19:10 10078
perl-freezethaw-doc-0.5001-r2.apk 25-Oct-2024 19:10 5801
perl-full-1.004-r0.apk 25-Oct-2024 19:10 7287
perl-full-doc-1.004-r0.apk 25-Oct-2024 19:10 10544
perl-future-asyncawait-hooks-0.02-r0.apk 25-Oct-2024 19:10 8458
perl-future-asyncawait-hooks-doc-0.02-r0.apk 25-Oct-2024 19:10 3320
perl-future-http-0.17-r0.apk 25-Oct-2024 19:10 9456
perl-future-http-doc-0.17-r0.apk 25-Oct-2024 19:10 16056
perl-future-q-0.120-r0.apk 25-Oct-2024 19:10 9837
perl-future-q-doc-0.120-r0.apk 25-Oct-2024 19:10 9335
perl-future-queue-0.52-r0.apk 25-Oct-2024 19:10 4187
perl-future-queue-doc-0.52-r0.apk 25-Oct-2024 19:10 4372
perl-gearman-2.004.015-r3.apk 25-Oct-2024 19:10 28124
perl-gearman-doc-2.004.015-r3.apk 25-Oct-2024 19:10 20255
perl-getopt-long-descriptive-0.116-r0.apk 31-Dec-2024 09:39 14987
perl-getopt-long-descriptive-doc-0.116-r0.apk 31-Dec-2024 09:39 11254
perl-getopt-tabular-0.3-r4.apk 25-Oct-2024 19:10 23800
perl-getopt-tabular-doc-0.3-r4.apk 25-Oct-2024 19:10 17146
perl-git-raw-0.90-r2.apk 22-Nov-2024 18:28 165210
perl-git-raw-doc-0.90-r2.apk 22-Nov-2024 18:28 119978
perl-git-repository-1.325-r0.apk 25-Oct-2024 19:10 16732
perl-git-repository-doc-1.325-r0.apk 25-Oct-2024 19:10 32257
perl-git-version-compare-1.005-r0.apk 25-Oct-2024 19:10 5537
perl-git-version-compare-doc-1.005-r0.apk 25-Oct-2024 19:10 4982
perl-glib-ex-objectbits-17-r0.apk 25-Oct-2024 19:10 15407
perl-glib-ex-objectbits-doc-17-r0.apk 25-Oct-2024 19:10 22925
perl-glib-object-introspection-0.051-r1.apk 25-Oct-2024 19:10 59938
perl-glib-object-introspection-doc-0.051-r1.apk 25-Oct-2024 19:10 11323
perl-graphql-client-0.605-r0.apk 25-Oct-2024 19:10 7318
perl-graphql-client-cli-0.605-r0.apk 25-Oct-2024 19:10 8009
perl-graphql-client-doc-0.605-r0.apk 25-Oct-2024 19:10 14317
perl-gtk2-1.24993-r6.apk 25-Oct-2024 19:10 851828
perl-gtk2-doc-1.24993-r6.apk 25-Oct-2024 19:10 686481
perl-gtk2-ex-listmodelconcat-11-r4.apk 25-Oct-2024 19:10 13065
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk 25-Oct-2024 19:10 7470
perl-gtk2-ex-widgetbits-48-r3.apk 25-Oct-2024 19:10 67293
perl-gtk2-ex-widgetbits-doc-48-r3.apk 25-Oct-2024 19:10 83174
perl-gtk3-0.038-r1.apk 25-Oct-2024 19:10 20114
perl-gtk3-doc-0.038-r1.apk 25-Oct-2024 19:10 9376
perl-guard-1.023-r9.apk 25-Oct-2024 19:10 8985
perl-guard-doc-1.023-r9.apk 25-Oct-2024 19:10 5397
perl-hash-ordered-0.014-r0.apk 25-Oct-2024 19:10 10030
perl-hash-ordered-doc-0.014-r0.apk 25-Oct-2024 19:10 19701
perl-html-object-0.5.1-r0.apk 25-Oct-2024 19:10 356701
perl-html-object-doc-0.5.1-r0.apk 25-Oct-2024 19:10 483181
perl-html-selector-xpath-0.28-r0.apk 25-Oct-2024 19:10 6363
perl-html-selector-xpath-doc-0.28-r0.apk 25-Oct-2024 19:10 4170
perl-html-tableextract-2.15-r4.apk 25-Oct-2024 19:10 18102
perl-html-tableextract-doc-2.15-r4.apk 25-Oct-2024 19:10 10158
perl-http-thin-0.006-r0.apk 25-Oct-2024 19:10 3159
perl-http-thin-doc-0.006-r0.apk 25-Oct-2024 19:10 3532
perl-http-xsheaders-0.400005-r1.apk 25-Oct-2024 19:10 20699
perl-http-xsheaders-doc-0.400005-r1.apk 25-Oct-2024 19:10 6466
perl-i18n-langinfo-wide-9-r4.apk 25-Oct-2024 19:10 4316
perl-i18n-langinfo-wide-doc-9-r4.apk 25-Oct-2024 19:10 4185
perl-indirect-0.39-r1.apk 25-Oct-2024 19:10 16144
perl-indirect-doc-0.39-r1.apk 25-Oct-2024 19:10 6503
perl-io-lambda-1.34-r0.apk 25-Oct-2024 19:10 77268
perl-io-lambda-doc-1.34-r0.apk 25-Oct-2024 19:10 69575
perl-io-sessiondata-1.03-r3.apk 25-Oct-2024 19:10 5894
perl-json-maybeutf8-2.000-r0.apk 25-Oct-2024 19:10 3201
perl-json-maybeutf8-doc-2.000-r0.apk 25-Oct-2024 19:10 3643
perl-json-path-1.0.6-r0.apk 25-Oct-2024 19:10 16583
perl-json-path-doc-1.0.6-r0.apk 25-Oct-2024 19:10 12899
perl-json-validator-5.14-r0.apk 25-Oct-2024 19:10 60763
perl-json-validator-doc-5.14-r0.apk 25-Oct-2024 19:10 34024
perl-lib-abs-0.95-r0.apk 25-Oct-2024 19:10 3951
perl-lib-abs-doc-0.95-r0.apk 25-Oct-2024 19:10 4048
perl-libapreq2-2.17-r2.apk 25-Oct-2024 19:10 114540
perl-libapreq2-dev-2.17-r2.apk 25-Oct-2024 19:10 58966
perl-libapreq2-doc-2.17-r2.apk 25-Oct-2024 19:10 37976
perl-libintl-perl-1.35-r0.apk 16-Jan-2025 13:35 312242
perl-libintl-perl-doc-1.35-r0.apk 16-Jan-2025 13:35 585130
perl-linux-pid-0.04-r13.apk 25-Oct-2024 19:10 5071
perl-linux-pid-doc-0.04-r13.apk 25-Oct-2024 19:10 3071
perl-list-binarysearch-0.25-r0.apk 25-Oct-2024 19:10 10195
perl-list-binarysearch-doc-0.25-r0.apk 25-Oct-2024 19:10 11820
perl-list-binarysearch-xs-0.09-r1.apk 25-Oct-2024 19:10 12894
perl-list-binarysearch-xs-doc-0.09-r1.apk 25-Oct-2024 19:10 8262
perl-list-keywords-0.11-r0.apk 25-Oct-2024 19:10 15176
perl-list-keywords-doc-0.11-r0.apk 25-Oct-2024 19:10 5643
perl-log-fu-0.31-r4.apk 25-Oct-2024 19:10 10759
perl-log-fu-doc-0.31-r4.apk 25-Oct-2024 19:10 7434
perl-log-message-0.08-r3.apk 25-Oct-2024 19:10 10862
perl-log-message-doc-0.08-r3.apk 25-Oct-2024 19:10 12437
perl-log-message-simple-0.10-r3.apk 25-Oct-2024 19:10 4318
perl-log-message-simple-doc-0.10-r3.apk 25-Oct-2024 19:10 4045
perl-lv-0.006-r0.apk 25-Oct-2024 19:10 4174
perl-lv-backend-magic-0.006-r0.apk 25-Oct-2024 19:10 2159
perl-lv-backend-sentinel-0.006-r0.apk 25-Oct-2024 19:10 2037
perl-lv-doc-0.006-r0.apk 25-Oct-2024 19:10 4155
perl-lwp-online-1.08-r0.apk 25-Oct-2024 19:10 6301
perl-lwp-online-doc-1.08-r0.apk 25-Oct-2024 19:10 5830
perl-lwp-useragent-cached-0.08-r1.apk 25-Oct-2024 19:10 6541
perl-lwp-useragent-cached-doc-0.08-r1.apk 25-Oct-2024 19:10 5791
perl-mastodon-client-0.017-r0.apk 25-Oct-2024 19:10 22592
perl-mastodon-client-doc-0.017-r0.apk 25-Oct-2024 19:10 33771
perl-math-int64-0.57-r1.apk 25-Oct-2024 19:10 27547
perl-math-int64-doc-0.57-r1.apk 25-Oct-2024 19:10 10691
perl-math-libm-1.00-r14.apk 25-Oct-2024 19:10 10146
perl-math-libm-doc-1.00-r14.apk 25-Oct-2024 19:10 3220
perl-math-random-0.72-r0.apk 25-Oct-2024 19:10 35728
perl-math-random-doc-0.72-r0.apk 25-Oct-2024 19:10 11096
perl-math-random-isaac-xs-1.004-r8.apk 25-Oct-2024 19:10 7997
perl-math-random-isaac-xs-doc-1.004-r8.apk 25-Oct-2024 19:10 3856
perl-mce-1.901-r0.apk 03-Jan-2025 04:21 137554
perl-mce-doc-1.901-r0.apk 03-Jan-2025 04:21 173734
perl-minion-10.31-r0.apk 25-Oct-2024 19:10 1576802
perl-minion-backend-pg-10.31-r0.apk 25-Oct-2024 19:10 9862
perl-minion-backend-redis-0.003-r0.apk 25-Oct-2024 19:10 10760
perl-minion-backend-redis-doc-0.003-r0.apk 25-Oct-2024 19:10 6738
perl-minion-backend-sqlite-5.0.7-r0.apk 25-Oct-2024 19:10 10365
perl-minion-backend-sqlite-doc-5.0.7-r0.apk 25-Oct-2024 19:10 6939
perl-minion-doc-10.31-r0.apk 25-Oct-2024 19:10 50163
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk 25-Oct-2024 19:10 3519
perl-module-build-prereqs-fromcpanfile-doc-0.02..> 25-Oct-2024 19:10 3863
perl-module-generic-0.37.7-r0.apk 01-Nov-2024 14:30 258860
perl-module-generic-doc-0.37.7-r0.apk 01-Nov-2024 14:30 206095
perl-mojo-reactor-ioasync-1.002-r0.apk 25-Oct-2024 19:10 4848
perl-mojo-reactor-ioasync-doc-1.002-r0.apk 25-Oct-2024 19:10 4487
perl-mojo-redis-3.29-r0.apk 25-Oct-2024 19:10 25784
perl-mojo-redis-doc-3.29-r0.apk 25-Oct-2024 19:10 25203
perl-mojo-sqlite-3.009-r0.apk 25-Oct-2024 19:10 16339
perl-mojo-sqlite-doc-3.009-r0.apk 25-Oct-2024 19:10 19824
perl-mojolicious-plugin-openapi-5.09-r0.apk 25-Oct-2024 19:10 29274
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk 25-Oct-2024 19:10 34584
perl-multidimensional-0.014-r0.apk 25-Oct-2024 19:10 5183
perl-multidimensional-doc-0.014-r0.apk 25-Oct-2024 19:10 3176
perl-musicbrainz-discid-0.06-r1.apk 25-Oct-2024 19:10 9312
perl-musicbrainz-discid-doc-0.06-r1.apk 25-Oct-2024 19:10 4385
perl-net-address-ip-local-0.1.2-r0.apk 25-Oct-2024 19:10 3532
perl-net-address-ip-local-doc-0.1.2-r0.apk 25-Oct-2024 19:10 3583
perl-net-amqp-rabbitmq-2.40012-r0.apk 25-Oct-2024 19:10 76528
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk 25-Oct-2024 19:10 9920
perl-net-async-redis-6.006-r0.apk 11-Dec-2024 16:22 59837
perl-net-async-redis-doc-6.006-r0.apk 11-Dec-2024 16:22 67478
perl-net-async-redis-xs-1.001-r1.apk 25-Oct-2024 19:10 9393
perl-net-async-redis-xs-doc-1.001-r1.apk 25-Oct-2024 19:10 5457
perl-net-curl-0.57-r0.apk 26-Jan-2025 06:27 62466
perl-net-curl-doc-0.57-r0.apk 26-Jan-2025 06:27 40041
perl-net-curl-promiser-0.20-r0.apk 25-Oct-2024 19:10 9085
perl-net-curl-promiser-anyevent-0.20-r0.apk 25-Oct-2024 19:10 2791
perl-net-curl-promiser-doc-0.20-r0.apk 25-Oct-2024 19:10 11931
perl-net-curl-promiser-ioasync-0.20-r0.apk 25-Oct-2024 19:10 3049
perl-net-curl-promiser-mojo-0.20-r0.apk 25-Oct-2024 19:10 3190
perl-net-idn-encode-2.500-r1.apk 25-Oct-2024 19:10 85914
perl-net-idn-encode-doc-2.500-r1.apk 25-Oct-2024 19:10 22245
perl-net-irr-0.10-r0.apk 25-Oct-2024 19:10 5596
perl-net-irr-doc-0.10-r0.apk 25-Oct-2024 19:10 5290
perl-net-jabber-2.0-r0.apk 25-Oct-2024 19:10 51837
perl-net-jabber-bot-2.1.7-r0.apk 25-Oct-2024 19:10 13817
perl-net-jabber-bot-doc-2.1.7-r0.apk 25-Oct-2024 19:10 7766
perl-net-jabber-doc-2.0-r0.apk 25-Oct-2024 19:10 49514
perl-net-netmask-2.0002-r2.apk 25-Oct-2024 19:10 14160
perl-net-netmask-doc-2.0002-r2.apk 25-Oct-2024 19:10 8747
perl-net-patricia-1.22-r12.apk 25-Oct-2024 19:10 20696
perl-net-patricia-doc-1.22-r12.apk 25-Oct-2024 19:10 6187
perl-net-xmpp-1.05-r0.apk 25-Oct-2024 19:10 59045
perl-net-xmpp-doc-1.05-r0.apk 25-Oct-2024 19:10 44690
perl-netaddr-mac-0.98-r1.apk 25-Oct-2024 19:10 11101
perl-netaddr-mac-doc-0.98-r1.apk 25-Oct-2024 19:10 8181
perl-nice-try-1.3.15-r0.apk 07-Nov-2024 05:26 28179
perl-nice-try-doc-1.3.15-r0.apk 07-Nov-2024 05:26 12409
perl-number-format-1.76-r1.apk 25-Oct-2024 19:10 15615
perl-number-format-doc-1.76-r1.apk 25-Oct-2024 19:10 9231
perl-number-misc-1.2-r5.apk 25-Oct-2024 19:10 5317
perl-number-misc-doc-1.2-r5.apk 25-Oct-2024 19:10 4498
perl-number-tolerant-1.710-r0.apk 25-Oct-2024 19:10 15192
perl-number-tolerant-doc-1.710-r0.apk 25-Oct-2024 19:10 26256
perl-object-array-0.060-r0.apk 25-Oct-2024 19:10 5826
perl-object-array-doc-0.060-r0.apk 25-Oct-2024 19:10 7130
perl-object-pad-fieldattr-checked-0.12-r0.apk 25-Oct-2024 19:10 8820
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk 25-Oct-2024 19:10 4573
perl-openapi-client-1.07-r0.apk 25-Oct-2024 19:10 8856
perl-openapi-client-doc-1.07-r0.apk 25-Oct-2024 19:10 7532
perl-opentracing-1.006-r0.apk 25-Oct-2024 19:10 18423
perl-opentracing-doc-1.006-r0.apk 25-Oct-2024 19:10 33511
perl-pango-1.227-r11.apk 25-Oct-2024 19:10 80267
perl-pango-doc-1.227-r11.apk 25-Oct-2024 19:10 82819
perl-path-iter-0.2-r3.apk 25-Oct-2024 19:10 5343
perl-path-iter-doc-0.2-r3.apk 25-Oct-2024 19:10 5279
perl-perlio-locale-0.10-r12.apk 25-Oct-2024 19:10 4838
perl-perlio-locale-doc-0.10-r12.apk 25-Oct-2024 19:10 3118
perl-plack-middleware-expires-0.06-r3.apk 25-Oct-2024 19:10 3968
perl-plack-middleware-expires-doc-0.06-r3.apk 25-Oct-2024 19:10 3426
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36 3155
perl-plack-middleware-fixmissingbodyinredirect-..> 26-Dec-2024 09:36 3139
perl-plack-middleware-removeredundantbody-0.09-..> 27-Dec-2024 14:02 2568
perl-plack-middleware-removeredundantbody-doc-0..> 27-Dec-2024 14:02 3153
perl-plack-middleware-reverseproxy-0.16-r2.apk 25-Oct-2024 19:10 3238
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk 25-Oct-2024 19:10 3125
perl-pod-cpandoc-0.16-r6.apk 25-Oct-2024 19:10 4678
perl-pod-cpandoc-doc-0.16-r6.apk 25-Oct-2024 19:10 5014
perl-pod-tidy-0.10-r1.apk 25-Oct-2024 19:10 10633
perl-pod-tidy-doc-0.10-r1.apk 25-Oct-2024 19:10 10804
perl-ppi-xs-0.910-r1.apk 25-Oct-2024 19:10 6045
perl-ppi-xs-doc-0.910-r1.apk 25-Oct-2024 19:10 3450
perl-proc-guard-0.07-r4.apk 25-Oct-2024 19:10 3780
perl-proc-guard-doc-0.07-r4.apk 25-Oct-2024 19:10 3623
perl-promise-es6-0.28-r0.apk 25-Oct-2024 19:10 10978
perl-promise-es6-anyevent-0.28-r0.apk 25-Oct-2024 19:10 2572
perl-promise-es6-doc-0.28-r0.apk 25-Oct-2024 19:10 12367
perl-promise-es6-future-0.28-r0.apk 25-Oct-2024 19:10 2355
perl-promise-es6-io-async-0.28-r0.apk 25-Oct-2024 19:10 3038
perl-promise-es6-mojo-ioloop-0.28-r0.apk 25-Oct-2024 19:10 2646
perl-promise-me-0.5.0-r0.apk 25-Oct-2024 19:10 26182
perl-promise-me-doc-0.5.0-r0.apk 25-Oct-2024 19:10 12299
perl-promise-xs-0.20-r1.apk 25-Oct-2024 19:10 24350
perl-promise-xs-doc-0.20-r1.apk 25-Oct-2024 19:10 8877
perl-protocol-database-postgresql-2.001-r0.apk 25-Oct-2024 19:10 19649
perl-protocol-database-postgresql-doc-2.001-r0.apk 25-Oct-2024 19:10 38457
perl-protocol-redis-1.0021-r0.apk 25-Oct-2024 19:10 5749
perl-protocol-redis-doc-1.0021-r0.apk 25-Oct-2024 19:10 5157
perl-protocol-redis-faster-0.003-r0.apk 25-Oct-2024 19:10 3512
perl-protocol-redis-faster-doc-0.003-r0.apk 25-Oct-2024 19:10 3411
perl-ref-util-xs-0.117-r8.apk 25-Oct-2024 19:10 9848
perl-ref-util-xs-doc-0.117-r8.apk 25-Oct-2024 19:10 3482
perl-regexp-grammars-1.058-r0.apk 25-Oct-2024 19:10 67169
perl-regexp-grammars-doc-1.058-r0.apk 25-Oct-2024 19:10 48963
perl-role-eventemitter-0.003-r0.apk 25-Oct-2024 19:10 3762
perl-role-eventemitter-doc-0.003-r0.apk 25-Oct-2024 19:10 4047
perl-rxperl-6.29.8-r0.apk 25-Oct-2024 19:10 26911
perl-rxperl-anyevent-6.8.1-r0.apk 25-Oct-2024 19:10 2799
perl-rxperl-anyevent-doc-6.8.1-r0.apk 25-Oct-2024 19:10 9177
perl-rxperl-doc-6.29.8-r0.apk 25-Oct-2024 19:10 23117
perl-rxperl-ioasync-6.9.1-r0.apk 25-Oct-2024 19:10 2898
perl-rxperl-ioasync-doc-6.9.1-r0.apk 25-Oct-2024 19:10 9219
perl-rxperl-mojo-6.8.2-r0.apk 25-Oct-2024 19:10 2907
perl-rxperl-mojo-doc-6.8.2-r0.apk 25-Oct-2024 19:10 9274
perl-ryu-4.001-r0.apk 25-Oct-2024 19:10 26689
perl-ryu-async-0.020-r0.apk 25-Oct-2024 19:10 7688
perl-ryu-async-doc-0.020-r0.apk 25-Oct-2024 19:10 12105
perl-ryu-doc-4.001-r0.apk 25-Oct-2024 19:10 36244
perl-scalar-readonly-0.03-r1.apk 25-Oct-2024 19:10 5627
perl-scalar-readonly-doc-0.03-r1.apk 25-Oct-2024 19:10 3455
perl-sentinel-0.07-r1.apk 25-Oct-2024 19:10 7863
perl-sentinel-doc-0.07-r1.apk 25-Oct-2024 19:10 4282
perl-session-storage-secure-1.000-r2.apk 25-Oct-2024 19:10 9043
perl-session-storage-secure-doc-1.000-r2.apk 25-Oct-2024 19:10 7583
perl-signature-attribute-checked-0.06-r0.apk 25-Oct-2024 19:10 8196
perl-signature-attribute-checked-doc-0.06-r0.apk 25-Oct-2024 19:10 4679
perl-snmp-5.0404-r13.apk 25-Oct-2024 19:10 67860
perl-snmp-doc-5.0404-r13.apk 25-Oct-2024 19:10 14328
perl-snmp-info-3.972002-r0.apk 30-Oct-2024 05:59 340712
perl-snmp-info-doc-3.972002-r0.apk 30-Oct-2024 05:59 387943
perl-soap-lite-1.27-r5.apk 25-Oct-2024 19:10 112865
perl-soap-lite-doc-1.27-r5.apk 25-Oct-2024 19:10 92637
perl-sort-naturally-1.03-r4.apk 25-Oct-2024 19:10 8881
perl-sort-naturally-doc-1.03-r4.apk 25-Oct-2024 19:10 5595
perl-sort-versions-1.62-r0.apk 25-Oct-2024 19:10 3831
perl-sort-versions-doc-1.62-r0.apk 25-Oct-2024 19:10 4244
perl-sql-abstract-classic-1.91-r1.apk 25-Oct-2024 19:10 30224
perl-sql-abstract-classic-doc-1.91-r1.apk 25-Oct-2024 19:10 20716
perl-sql-abstract-more-1.43-r0.apk 30-Dec-2024 06:37 28111
perl-sql-abstract-more-doc-1.43-r0.apk 30-Dec-2024 06:37 16939
perl-starman-0.4017-r0.apk 25-Oct-2024 19:10 13759
perl-starman-doc-0.4017-r0.apk 25-Oct-2024 19:10 10246
perl-statistics-basic-1.6611-r0.apk 25-Oct-2024 19:10 9434
perl-statistics-basic-doc-1.6611-r0.apk 25-Oct-2024 19:10 50975
perl-statistics-descriptive-3.0801-r0.apk 25-Oct-2024 19:10 31030
perl-statistics-descriptive-doc-3.0801-r0.apk 25-Oct-2024 19:10 38404
perl-storable-improved-0.1.3-r0.apk 25-Oct-2024 19:10 6862
perl-storable-improved-doc-0.1.3-r0.apk 25-Oct-2024 19:10 7078
perl-string-camelcase-0.04-r2.apk 25-Oct-2024 19:10 3266
perl-string-camelcase-doc-0.04-r2.apk 25-Oct-2024 19:10 3546
perl-string-compare-constanttime-0.321-r6.apk 25-Oct-2024 19:10 7708
perl-string-compare-constanttime-doc-0.321-r6.apk 25-Oct-2024 19:10 5345
perl-string-crc32-2.100-r4.apk 25-Oct-2024 19:10 7357
perl-string-crc32-doc-2.100-r4.apk 25-Oct-2024 19:10 3449
perl-string-random-0.32-r2.apk 25-Oct-2024 19:10 8102
perl-string-random-doc-0.32-r2.apk 25-Oct-2024 19:10 6344
perl-syntax-keyword-match-0.15-r0.apk 25-Oct-2024 19:10 14324
perl-syntax-keyword-match-doc-0.15-r0.apk 25-Oct-2024 19:10 7951
perl-syntax-operator-equ-0.10-r0.apk 25-Oct-2024 19:10 8529
perl-syntax-operator-equ-doc-0.10-r0.apk 25-Oct-2024 19:10 6677
perl-syntax-operator-in-0.10-r0.apk 25-Oct-2024 19:10 9952
perl-syntax-operator-in-doc-0.10-r0.apk 25-Oct-2024 19:10 5980
perl-sys-syscall-0.25-r10.apk 25-Oct-2024 19:10 5479
perl-sys-syscall-doc-0.25-r10.apk 25-Oct-2024 19:10 3777
perl-sys-virt-11.0.0-r0.apk 18-Feb-2025 07:27 190124
perl-sys-virt-doc-11.0.0-r0.apk 18-Feb-2025 07:27 101046
perl-system-command-1.122-r0.apk 25-Oct-2024 19:10 12122
perl-system-command-doc-1.122-r0.apk 25-Oct-2024 19:10 10439
perl-template-plugin-csv-0.04-r3.apk 25-Oct-2024 19:10 2774
perl-template-plugin-csv-doc-0.04-r3.apk 25-Oct-2024 19:10 3105
perl-template-plugin-number-format-1.06-r4.apk 25-Oct-2024 19:10 5023
perl-template-plugin-number-format-doc-1.06-r4.apk 25-Oct-2024 19:10 4503
perl-template-tiny-1.14-r0.apk 15-Dec-2024 10:09 5290
perl-template-tiny-doc-1.14-r0.apk 15-Dec-2024 10:09 4802
perl-term-size-0.211-r4.apk 25-Oct-2024 19:10 6033
perl-term-size-doc-0.211-r4.apk 25-Oct-2024 19:10 3832
perl-term-ui-0.50-r1.apk 25-Oct-2024 19:10 10232
perl-term-ui-doc-0.50-r1.apk 25-Oct-2024 19:10 8705
perl-test-api-0.010-r2.apk 25-Oct-2024 19:10 5214
perl-test-api-doc-0.010-r2.apk 25-Oct-2024 19:10 4350
perl-test-class-tiny-0.03-r0.apk 25-Oct-2024 19:10 6017
perl-test-class-tiny-doc-0.03-r0.apk 25-Oct-2024 19:10 5571
perl-test-describeme-0.004-r0.apk 25-Oct-2024 19:10 3674
perl-test-describeme-doc-0.004-r0.apk 25-Oct-2024 19:10 4283
perl-test-distribution-2.00-r1.apk 25-Oct-2024 19:10 7939
perl-test-distribution-doc-2.00-r1.apk 25-Oct-2024 19:10 6225
perl-test-expander-2.5.1-r0.apk 25-Oct-2024 19:10 7281
perl-test-expander-doc-2.5.1-r0.apk 25-Oct-2024 19:10 20556
perl-test-file-1.994-r0.apk 12-Jan-2025 04:09 11638
perl-test-file-doc-1.994-r0.apk 12-Jan-2025 04:09 6901
perl-test-files-0.26-r0.apk 25-Oct-2024 19:10 6894
perl-test-files-doc-0.26-r0.apk 25-Oct-2024 19:10 14948
perl-test-lwp-useragent-0.036-r0.apk 25-Oct-2024 19:10 10066
perl-test-lwp-useragent-doc-0.036-r0.apk 25-Oct-2024 19:10 8551
perl-test-memorygrowth-0.05-r0.apk 25-Oct-2024 19:10 6571
perl-test-memorygrowth-doc-0.05-r0.apk 25-Oct-2024 19:10 5412
perl-test-modern-0.013-r3.apk 25-Oct-2024 19:10 14976
perl-test-modern-doc-0.013-r3.apk 25-Oct-2024 19:10 10107
perl-test-randomresult-0.001-r0.apk 25-Oct-2024 19:10 3605
perl-test-randomresult-doc-0.001-r0.apk 25-Oct-2024 19:10 3766
perl-test-redisserver-0.23-r0.apk 25-Oct-2024 19:10 5096
perl-test-redisserver-doc-0.23-r0.apk 25-Oct-2024 19:10 4175
perl-test-requires-git-1.008-r0.apk 25-Oct-2024 19:10 4900
perl-test-requires-git-doc-1.008-r0.apk 25-Oct-2024 19:10 4508
perl-test-roo-1.004-r3.apk 25-Oct-2024 19:10 12197
perl-test-roo-doc-1.004-r3.apk 25-Oct-2024 19:10 15839
perl-test-settings-0.003-r0.apk 25-Oct-2024 19:10 5066
perl-test-settings-doc-0.003-r0.apk 25-Oct-2024 19:10 6188
perl-test-timer-2.12-r2.apk 25-Oct-2024 19:10 9099
perl-test-timer-doc-2.12-r2.apk 25-Oct-2024 19:10 8593
perl-test-toolbox-0.4-r5.apk 25-Oct-2024 19:10 10095
perl-test-toolbox-doc-0.4-r5.apk 25-Oct-2024 19:10 6326
perl-test-trap-0.3.5-r1.apk 25-Oct-2024 19:10 20245
perl-test-trap-doc-0.3.5-r1.apk 25-Oct-2024 19:10 20385
perl-test-unit-0.27-r0.apk 25-Oct-2024 19:10 37727
perl-test-unit-doc-0.27-r0.apk 25-Oct-2024 19:10 49465
perl-test-useallmodules-0.17-r1.apk 25-Oct-2024 19:10 3912
perl-test-useallmodules-doc-0.17-r1.apk 25-Oct-2024 19:10 3957
perl-test-utf8-1.03-r0.apk 20-Nov-2024 00:45 5707
perl-test-utf8-doc-1.03-r0.apk 20-Nov-2024 00:45 4976
perl-test2-tools-explain-0.02-r0.apk 25-Oct-2024 19:10 3902
perl-test2-tools-explain-doc-0.02-r0.apk 25-Oct-2024 19:10 4540
perl-text-brew-0.02-r5.apk 25-Oct-2024 19:10 4639
perl-text-brew-doc-0.02-r5.apk 25-Oct-2024 19:10 4237
perl-text-table-any-0.117-r0.apk 25-Oct-2024 19:10 8263
perl-text-table-any-doc-0.117-r0.apk 25-Oct-2024 19:10 6812
perl-text-table-sprintf-0.008-r0.apk 25-Oct-2024 19:10 5483
perl-text-table-sprintf-doc-0.008-r0.apk 25-Oct-2024 19:10 5344
perl-throwable-1.001-r1.apk 25-Oct-2024 19:10 6371
perl-throwable-doc-1.001-r1.apk 25-Oct-2024 19:10 8198
perl-tickit-widget-choice-0.07-r0.apk 25-Oct-2024 19:10 4023
perl-tickit-widget-choice-doc-0.07-r0.apk 25-Oct-2024 19:10 3494
perl-tickit-widget-entry-plugin-completion-0.02..> 25-Oct-2024 19:10 4737
perl-tickit-widget-entry-plugin-completion-doc-..> 25-Oct-2024 19:10 3941
perl-tickit-widget-floatbox-0.11-r0.apk 25-Oct-2024 19:10 4808
perl-tickit-widget-floatbox-doc-0.11-r0.apk 25-Oct-2024 19:10 4252
perl-tickit-widget-menu-0.16-r0.apk 25-Oct-2024 19:10 7352
perl-tickit-widget-menu-doc-0.16-r0.apk 25-Oct-2024 19:10 7081
perl-tickit-widget-scrollbox-0.12-r0.apk 25-Oct-2024 19:10 8196
perl-tickit-widget-scrollbox-doc-0.12-r0.apk 25-Oct-2024 19:10 6704
perl-time-moment-0.44-r0.apk 25-Oct-2024 19:10 39999
perl-time-moment-doc-0.44-r0.apk 25-Oct-2024 19:10 31595
perl-time-moment-role-strptime-0.001-r0.apk 25-Oct-2024 19:10 2816
perl-time-moment-role-strptime-doc-0.001-r0.apk 25-Oct-2024 19:10 3444
perl-time-moment-role-timezone-1.000-r0.apk 25-Oct-2024 19:10 3649
perl-time-moment-role-timezone-doc-1.000-r0.apk 25-Oct-2024 19:10 4082
perl-time-timegm-0.01-r9.apk 25-Oct-2024 19:10 7037
perl-time-timegm-doc-0.01-r9.apk 25-Oct-2024 19:10 3901
perl-types-path-tiny-0.006-r0.apk 25-Oct-2024 19:10 4044
perl-types-path-tiny-doc-0.006-r0.apk 25-Oct-2024 19:10 4189
perl-uri-db-0.23-r0.apk 09-Jan-2025 05:26 11150
perl-uri-db-doc-0.23-r0.apk 09-Jan-2025 05:26 8555
perl-uri-fetch-0.15-r0.apk 25-Oct-2024 19:10 7225
perl-uri-fetch-doc-0.15-r0.apk 25-Oct-2024 19:10 7700
perl-uri-nested-0.10-r0.apk 25-Oct-2024 19:10 4103
perl-uri-nested-doc-0.10-r0.apk 25-Oct-2024 19:10 3993
perl-uri-redis-0.02-r0.apk 25-Oct-2024 19:10 3262
perl-uri-redis-doc-0.02-r0.apk 25-Oct-2024 19:10 4680
perl-uri-tcp-2.0.0-r0.apk 25-Oct-2024 19:10 2777
perl-uri-tcp-doc-2.0.0-r0.apk 25-Oct-2024 19:10 5072
perl-url-encode-0.03-r4.apk 25-Oct-2024 19:10 5278
perl-url-encode-doc-0.03-r4.apk 25-Oct-2024 19:10 4812
perl-variable-disposition-0.005-r0.apk 25-Oct-2024 19:10 3346
perl-variable-disposition-doc-0.005-r0.apk 25-Oct-2024 19:10 5786
perl-x-tiny-0.22-r0.apk 25-Oct-2024 19:10 7036
perl-x-tiny-doc-0.22-r0.apk 25-Oct-2024 19:10 7777
perl-xml-atom-0.43-r0.apk 25-Oct-2024 19:10 20079
perl-xml-atom-doc-0.43-r0.apk 25-Oct-2024 19:10 16293
perl-xml-bare-0.53-r13.apk 25-Oct-2024 19:10 28182
perl-xml-bare-doc-0.53-r13.apk 25-Oct-2024 19:10 11657
perl-xml-feed-0.65-r0.apk 25-Oct-2024 19:10 14183
perl-xml-feed-doc-0.65-r0.apk 25-Oct-2024 19:10 12694
perl-xml-parser-style-easytree-0.09-r0.apk 25-Oct-2024 19:10 5085
perl-xml-parser-style-easytree-doc-0.09-r0.apk 25-Oct-2024 19:10 5548
perl-xml-rpc-2.1-r0.apk 25-Oct-2024 19:10 5869
perl-xml-rpc-doc-2.1-r0.apk 25-Oct-2024 19:10 4975
perl-xml-stream-1.24-r0.apk 25-Oct-2024 19:10 45096
perl-xml-stream-doc-1.24-r0.apk 25-Oct-2024 19:10 18082
persistent-cache-cpp-1.0.7-r4.apk 17-Feb-2025 15:08 45768
persistent-cache-cpp-dev-1.0.7-r4.apk 17-Feb-2025 15:08 18205
persistent-cache-cpp-doc-1.0.7-r4.apk 17-Feb-2025 15:08 3211
pest-language-server-0.3.9-r0.apk 25-Oct-2024 19:10 1068728
pfetch-1.7.0-r0.apk 03-Jan-2025 18:51 23640
pfetch-doc-1.7.0-r0.apk 03-Jan-2025 18:51 5680
pgcat-1.2.0-r1.apk 01-Jan-2025 17:38 2563602
pgcat-openrc-1.2.0-r1.apk 01-Jan-2025 17:38 1921
phoronix-test-suite-10.8.4-r2.apk 25-Oct-2024 19:10 4138368
phoronix-test-suite-bash-completion-10.8.4-r2.apk 25-Oct-2024 19:10 1816
phoronix-test-suite-doc-10.8.4-r2.apk 25-Oct-2024 19:10 294378
php81-8.1.31-r0.apk 20-Nov-2024 02:36 1783833
php81-apache2-8.1.31-r0.apk 20-Nov-2024 02:36 1756658
php81-bcmath-8.1.31-r0.apk 20-Nov-2024 02:36 16340
php81-bz2-8.1.31-r0.apk 20-Nov-2024 02:36 10445
php81-calendar-8.1.31-r0.apk 20-Nov-2024 02:36 13397
php81-cgi-8.1.31-r0.apk 20-Nov-2024 02:36 1761019
php81-common-8.1.31-r0.apk 20-Nov-2024 02:36 25699
php81-ctype-8.1.31-r0.apk 20-Nov-2024 02:36 5294
php81-curl-8.1.31-r0.apk 20-Nov-2024 02:36 36737
php81-dba-8.1.31-r0.apk 20-Nov-2024 02:36 22078
php81-dev-8.1.31-r0.apk 20-Nov-2024 02:36 961350
php81-doc-8.1.31-r0.apk 20-Nov-2024 02:36 69252
php81-dom-8.1.31-r0.apk 20-Nov-2024 02:36 60042
php81-embed-8.1.31-r0.apk 20-Nov-2024 02:36 1749484
php81-enchant-8.1.31-r0.apk 20-Nov-2024 02:36 8898
php81-exif-8.1.31-r0.apk 20-Nov-2024 02:36 29285
php81-ffi-8.1.31-r0.apk 20-Nov-2024 02:36 74267
php81-fileinfo-8.1.31-r0.apk 20-Nov-2024 02:36 385671
php81-fpm-8.1.31-r0.apk 20-Nov-2024 02:36 1829876
php81-ftp-8.1.31-r0.apk 20-Nov-2024 02:36 22253
php81-gd-8.1.31-r0.apk 20-Nov-2024 02:36 126271
php81-gettext-8.1.31-r0.apk 20-Nov-2024 02:36 6131
php81-gmp-8.1.31-r0.apk 20-Nov-2024 02:36 20525
php81-iconv-8.1.31-r0.apk 20-Nov-2024 02:36 17273
php81-imap-8.1.31-r0.apk 20-Nov-2024 02:36 32456
php81-intl-8.1.31-r0.apk 20-Nov-2024 02:36 137002
php81-ldap-8.1.31-r0.apk 20-Nov-2024 02:36 30671
php81-litespeed-8.1.31-r0.apk 20-Nov-2024 02:36 1772842
php81-mbstring-8.1.31-r0.apk 20-Nov-2024 02:36 578625
php81-mysqli-8.1.31-r0.apk 20-Nov-2024 02:36 39522
php81-mysqlnd-8.1.31-r0.apk 20-Nov-2024 02:36 76442
php81-odbc-8.1.31-r0.apk 20-Nov-2024 02:36 22669
php81-opcache-8.1.31-r0.apk 20-Nov-2024 02:36 520166
php81-openssl-8.1.31-r0.apk 20-Nov-2024 02:36 68771
php81-pcntl-8.1.31-r0.apk 20-Nov-2024 02:36 13695
php81-pdo-8.1.31-r0.apk 20-Nov-2024 02:36 41143
php81-pdo_dblib-8.1.31-r0.apk 20-Nov-2024 02:36 12937
php81-pdo_mysql-8.1.31-r0.apk 20-Nov-2024 02:36 13422
php81-pdo_odbc-8.1.31-r0.apk 20-Nov-2024 02:36 12958
php81-pdo_pgsql-8.1.31-r0.apk 20-Nov-2024 02:36 19059
php81-pdo_sqlite-8.1.31-r0.apk 20-Nov-2024 02:36 13231
php81-pear-8.1.31-r0.apk 20-Nov-2024 02:36 345980
php81-pecl-amqp-2.1.2-r0.apk 25-Oct-2024 19:10 57744
php81-pecl-apcu-5.1.24-r0.apk 25-Oct-2024 19:10 57177
php81-pecl-ast-1.1.2-r0.apk 25-Oct-2024 19:10 20570
php81-pecl-brotli-0.15.2-r0.apk 08-Nov-2024 20:37 12193
php81-pecl-couchbase-4.2.6-r0.apk 30-Jan-2025 01:56 4636036
php81-pecl-csv-0.4.3-r0.apk 25-Feb-2025 15:45 10404
php81-pecl-decimal-1.5.0-r1.apk 25-Oct-2024 19:10 19587
php81-pecl-ds-1.5.0-r0.apk 25-Oct-2024 19:10 62754
php81-pecl-event-3.1.4-r0.apk 25-Oct-2024 19:10 53009
php81-pecl-grpc-1.70.0-r0.apk 06-Feb-2025 23:52 4710980
php81-pecl-igbinary-3.2.16-r0.apk 25-Oct-2024 19:10 32810
php81-pecl-imagick-3.7.0-r5.apk 25-Oct-2024 19:10 116068
php81-pecl-imagick-dev-3.7.0-r5.apk 25-Oct-2024 19:10 2362
php81-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 19:10 41004
php81-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:10 11222
php81-pecl-luasandbox-4.1.2-r0.apk 25-Oct-2024 19:10 31612
php81-pecl-lzf-1.7.0-r0.apk 25-Oct-2024 19:10 7883
php81-pecl-mailparse-3.1.8-r0.apk 25-Oct-2024 19:10 24441
php81-pecl-maxminddb-1.12.0-r0.apk 20-Nov-2024 00:45 8606
php81-pecl-mcrypt-1.0.7-r0.apk 25-Oct-2024 19:10 16042
php81-pecl-memcache-8.2-r1.apk 25-Oct-2024 19:10 45691
php81-pecl-memcached-3.3.0-r0.apk 25-Oct-2024 19:10 46860
php81-pecl-memprof-3.1.0-r0.apk 24-Feb-2025 17:23 14531
php81-pecl-mongodb-1.20.1-r0.apk 27-Nov-2024 17:13 830302
php81-pecl-msgpack-3.0.0-r0.apk 25-Oct-2024 19:10 27768
php81-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 19:10 36109
php81-pecl-opentelemetry-1.1.2-r0.apk 26-Jan-2025 06:27 13455
php81-pecl-pcov-1.0.12-r0.apk 04-Dec-2024 17:17 10041
php81-pecl-protobuf-4.29.3-r0.apk 09-Jan-2025 22:14 143024
php81-pecl-psr-1.2.0-r0.apk 25-Oct-2024 19:10 19784
php81-pecl-rdkafka-6.0.5-r0.apk 04-Nov-2024 12:52 37556
php81-pecl-redis-6.1.0-r0.apk 25-Oct-2024 19:10 199823
php81-pecl-smbclient-1.2.0_pre-r0.apk 10-Dec-2024 19:01 21102
php81-pecl-ssh2-1.4.1-r0.apk 25-Oct-2024 19:10 29194
php81-pecl-swoole-6.0.1-r0.apk 17-Feb-2025 15:08 889791
php81-pecl-swoole-dev-6.0.1-r0.apk 17-Feb-2025 15:08 217827
php81-pecl-timezonedb-2025.1-r0.apk 26-Jan-2025 06:27 192578
php81-pecl-uploadprogress-2.0.2-r1.apk 25-Oct-2024 19:10 7065
php81-pecl-uploadprogress-doc-2.0.2-r1.apk 25-Oct-2024 19:10 10162
php81-pecl-uuid-1.2.1-r0.apk 25-Oct-2024 19:10 6762
php81-pecl-xdebug-3.4.1-r0.apk 07-Jan-2025 04:43 145076
php81-pecl-xhprof-2.3.10-r0.apk 25-Oct-2024 19:10 13251
php81-pecl-xhprof-assets-2.3.10-r0.apk 25-Oct-2024 19:10 819824
php81-pecl-xlswriter-1.5.8-r0.apk 11-Nov-2024 01:44 227312
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk 25-Oct-2024 19:10 37760
php81-pecl-yaml-2.2.4-r0.apk 25-Oct-2024 19:10 18983
php81-pecl-zephir_parser-1.7.0-r0.apk 24-Nov-2024 17:25 57963
php81-pecl-zstd-0.14.0-r0.apk 06-Nov-2024 14:44 14560
php81-pgsql-8.1.31-r0.apk 20-Nov-2024 02:36 43787
php81-phar-8.1.31-r0.apk 20-Nov-2024 02:36 119115
php81-phpdbg-8.1.31-r0.apk 20-Nov-2024 02:36 1828289
php81-posix-8.1.31-r0.apk 20-Nov-2024 02:36 11468
php81-pspell-8.1.31-r0.apk 20-Nov-2024 02:36 8355
php81-session-8.1.31-r0.apk 20-Nov-2024 02:36 35558
php81-shmop-8.1.31-r0.apk 20-Nov-2024 02:36 6489
php81-simplexml-8.1.31-r0.apk 20-Nov-2024 02:36 22462
php81-snmp-8.1.31-r0.apk 20-Nov-2024 02:36 20731
php81-soap-8.1.31-r0.apk 20-Nov-2024 02:36 128833
php81-sockets-8.1.31-r0.apk 20-Nov-2024 02:36 36312
php81-sodium-8.1.31-r0.apk 20-Nov-2024 02:36 25098
php81-spx-0.4.18-r0.apk 05-Jan-2025 20:14 109171
php81-sqlite3-8.1.31-r0.apk 20-Nov-2024 02:36 21284
php81-sysvmsg-8.1.31-r0.apk 20-Nov-2024 02:36 7937
php81-sysvsem-8.1.31-r0.apk 20-Nov-2024 02:36 6105
php81-sysvshm-8.1.31-r0.apk 20-Nov-2024 02:36 7064
php81-tideways_xhprof-5.0.4-r1.apk 25-Oct-2024 19:10 13044
php81-tidy-8.1.31-r0.apk 20-Nov-2024 02:36 18910
php81-tokenizer-8.1.31-r0.apk 20-Nov-2024 02:36 12085
php81-xml-8.1.31-r0.apk 20-Nov-2024 02:36 19282
php81-xmlreader-8.1.31-r0.apk 20-Nov-2024 02:36 13579
php81-xmlwriter-8.1.31-r0.apk 20-Nov-2024 02:36 11463
php81-xsl-8.1.31-r0.apk 20-Nov-2024 02:36 13534
php81-zip-8.1.31-r0.apk 20-Nov-2024 02:36 25081
php82-pdlib-1.1.0-r1.apk 25-Oct-2024 19:10 495148
php82-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 19:10 4849
php82-pecl-excimer-1.2.3-r0.apk 04-Dec-2024 11:57 21500
php82-pecl-immutable_cache-6.1.0-r0.apk 25-Oct-2024 19:10 41212
php82-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:10 11218
php82-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 19:10 36393
php82-pecl-runkit7-4.0.0_alpha6-r1.apk 25-Oct-2024 19:10 31929
php82-pecl-teds-1.3.0-r0.apk 25-Oct-2024 19:10 131178
php82-pecl-vld-0.18.0-r0.apk 25-Oct-2024 19:10 16986
php82-pecl-zephir_parser-1.7.0-r0.apk 24-Nov-2024 17:25 57977
php82-snappy-0.2.1-r1.apk 25-Oct-2024 19:10 5654
php83-pecl-apfd-1.0.3-r0.apk 25-Oct-2024 19:10 4856
php83-pecl-eio-3.1.3-r0.apk 25-Oct-2024 19:10 30383
php83-pecl-ev-1.2.0-r0.apk 25-Oct-2024 19:10 41634
php83-pecl-excimer-1.2.3-r0.apk 04-Dec-2024 11:57 21525
php83-pecl-jsmin-3.0.0-r0.apk 25-Oct-2024 19:10 11222
php83-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 19:10 36417
php83-pecl-phpy-1.0.10-r0.apk 28-Feb-2025 21:12 41739
php83-pecl-uv-0.3.0-r0.apk 25-Oct-2024 19:10 51957
php83-pecl-vld-0.18.0-r1.apk 25-Oct-2024 19:10 16928
php83-pecl-zmq-1.1.4-r0.apk 25-Oct-2024 19:10 32397
php84-pecl-csv-0.4.3-r0.apk 25-Feb-2025 15:45 10431
php84-pecl-ev-1.2.0-r1.apk 25-Oct-2024 19:10 41690
php84-pecl-memprof-3.1.0-r0.apk 24-Feb-2025 17:23 14545
php84-pecl-oauth-2.0.9-r0.apk 25-Oct-2024 19:10 36438
php84-pecl-phpy-1.0.10-r0.apk 28-Feb-2025 21:12 41760
php84-pecl-solr-2.8.0-r0.apk 02-Jan-2025 09:42 88772
php84-pecl-uv-0.3.0-r0.apk 28-Oct-2024 12:47 51967
phpactor-2024.06.30.0-r0.apk 25-Oct-2024 19:10 3980277
pick-4.0.0-r0.apk 25-Oct-2024 19:10 10340
pick-doc-4.0.0-r0.apk 25-Oct-2024 19:10 3405
pict-rs-0.5.16-r1.apk 25-Oct-2024 19:10 6425781
pict-rs-openrc-0.5.16-r1.apk 25-Oct-2024 19:10 1919
pidif-0.1-r1.apk 25-Oct-2024 19:10 157465
piglit-0_git20241106-r0.apk 08-Nov-2024 07:42 96409515
pigpio-79-r4.apk 25-Oct-2024 19:10 224860
pigpio-dev-79-r4.apk 25-Oct-2024 19:10 92977
pigpio-doc-79-r4.apk 25-Oct-2024 19:10 118119
pigpio-openrc-79-r4.apk 25-Oct-2024 19:10 1662
piler-1.4.7-r0.apk 16-Jan-2025 10:12 2243508
piler-openrc-1.4.7-r0.apk 16-Jan-2025 10:12 2238
pimd-3.0_git20220201-r0.apk 25-Oct-2024 19:10 86392
pimd-dense-2.1.0-r0.apk 25-Oct-2024 19:10 53860
pimd-dense-doc-2.1.0-r0.apk 25-Oct-2024 19:10 20149
pimd-dense-openrc-2.1.0-r0.apk 25-Oct-2024 19:10 1892
pimd-doc-3.0_git20220201-r0.apk 25-Oct-2024 19:10 35590
pimd-openrc-3.0_git20220201-r0.apk 25-Oct-2024 19:10 1662
pimsync-0.3.0-r0.apk 28-Feb-2025 14:40 1148338
pimsync-doc-0.3.0-r0.apk 28-Feb-2025 14:40 14107
pinentry-bemenu-0.14.0-r0.apk 22-Feb-2025 15:37 8925
pinephone-call-audio-0.1-r0.apk 25-Oct-2024 19:10 7486
pinephone-compass-0.4.0-r1.apk 25-Oct-2024 19:10 18733
pipectl-0.4.1-r1.apk 25-Oct-2024 19:10 6309
pipectl-doc-0.4.1-r1.apk 25-Oct-2024 19:10 3080
pipeline-2.1.1-r0.apk 02-Feb-2025 03:07 1621484
pipeline-doc-2.1.1-r0.apk 02-Feb-2025 03:07 13836
pipeline-lang-2.1.1-r0.apk 02-Feb-2025 03:07 64439
piper-phonemize-2023.11.14.4-r6.apk 17-Feb-2025 15:08 9431698
piper-phonemize-dev-2023.11.14.4-r6.apk 17-Feb-2025 15:08 403613
piper-phonemize-libs-2023.11.14.4-r6.apk 17-Feb-2025 15:08 69973
piper-tts-2023.11.14.2-r10.apk 17-Feb-2025 15:08 130699
piper-tts-dev-2023.11.14.2-r10.apk 17-Feb-2025 15:08 144340
piping-server-0.18.0-r0.apk 25-Oct-2024 19:10 1487395
piping-server-openrc-0.18.0-r0.apk 25-Oct-2024 19:10 1843
pithos-1.6.1-r0.apk 25-Oct-2024 19:10 106964
pithos-doc-1.6.1-r0.apk 25-Oct-2024 19:10 2138
pithos-pyc-1.6.1-r0.apk 25-Oct-2024 19:10 157984
pitivi-2023.03-r2.apk 23-Dec-2024 01:15 2812173
pitivi-lang-2023.03-r2.apk 23-Dec-2024 01:15 694551
pitivi-pyc-2023.03-r2.apk 23-Dec-2024 01:15 716962
pixi-0.24.2-r0.apk 25-Oct-2024 19:10 9501879
pixi-bash-completion-0.24.2-r0.apk 25-Oct-2024 19:10 7324
pixi-doc-0.24.2-r0.apk 25-Oct-2024 19:10 7035
pixi-fish-completion-0.24.2-r0.apk 25-Oct-2024 19:10 10320
pixi-zsh-completion-0.24.2-r0.apk 25-Oct-2024 19:10 10678
pixiewps-1.4.2-r1.apk 25-Oct-2024 19:10 40137
pixiewps-doc-1.4.2-r1.apk 25-Oct-2024 19:10 3471
planarity-3.0.2.0-r2.apk 25-Oct-2024 19:10 9552
planarity-dev-3.0.2.0-r2.apk 25-Oct-2024 19:10 19739
planarity-doc-3.0.2.0-r2.apk 25-Oct-2024 19:10 13188
planarity-libs-3.0.2.0-r2.apk 25-Oct-2024 19:10 70588
planner-0.14.92-r1.apk 08-Dec-2024 21:43 359429
planner-doc-0.14.92-r1.apk 08-Dec-2024 21:43 2208
planner-lang-0.14.92-r1.apk 08-Dec-2024 21:43 844372
platformio-core-6.1.7-r3.apk 25-Oct-2024 19:10 268803
platformio-core-pyc-6.1.7-r3.apk 25-Oct-2024 19:10 565021
please-0.5.5-r0.apk 25-Oct-2024 19:10 1029487
please-build-17.13.1-r1.apk 12-Feb-2025 17:34 7044794
please-build-bash-completion-17.13.1-r1.apk 12-Feb-2025 17:34 1924
please-build-tools-17.13.1-r1.apk 12-Feb-2025 17:34 10437647
please-build-zsh-completion-17.13.1-r1.apk 12-Feb-2025 17:34 1927
please-doc-0.5.5-r0.apk 25-Oct-2024 19:10 16218
plfit-1.0.1-r0.apk 04-Jan-2025 03:47 54416
plfit-dev-1.0.1-r0.apk 04-Jan-2025 03:47 6635
plfit-libs-1.0.1-r0.apk 04-Jan-2025 03:47 42257
plfit-static-1.0.1-r0.apk 04-Jan-2025 03:47 39684
plib-1.8.5-r3.apk 25-Oct-2024 19:10 898594
plots-0.7.0-r1.apk 06-Nov-2024 09:41 528099
plplot-5.15.0-r2.apk 25-Oct-2024 19:10 32111
plplot-dev-5.15.0-r2.apk 25-Oct-2024 19:10 60407
plplot-doc-5.15.0-r2.apk 25-Oct-2024 19:10 318024
plplot-libs-5.15.0-r2.apk 25-Oct-2024 19:10 196405
plzip-1.12-r0.apk 15-Jan-2025 08:35 45029
plzip-doc-1.12-r0.apk 15-Jan-2025 08:35 17192
pmccabe-2.8-r1.apk 25-Oct-2024 19:10 25381
pmccabe-doc-2.8-r1.apk 25-Oct-2024 19:10 7318
pnmixer-0.7.2-r3.apk 25-Oct-2024 19:10 144966
pnmixer-doc-0.7.2-r3.apk 25-Oct-2024 19:10 2353
pnmixer-lang-0.7.2-r3.apk 25-Oct-2024 19:10 25400
poke-4.2-r0.apk 25-Oct-2024 19:10 1196218
poke-doc-4.2-r0.apk 25-Oct-2024 19:10 205393
pokoy-0.2.5-r0.apk 25-Oct-2024 19:10 9566
pokoy-doc-0.2.5-r0.apk 25-Oct-2024 19:10 3064
policycoreutils-3.6-r1.apk 25-Oct-2024 19:10 68794
policycoreutils-bash-completion-3.6-r1.apk 25-Oct-2024 19:10 2473
policycoreutils-doc-3.6-r1.apk 25-Oct-2024 19:10 22854
policycoreutils-lang-3.6-r1.apk 25-Oct-2024 19:10 107921
polycule-0.2.0-r0.apk 23-Feb-2025 23:37 29572591
polyglot-2.0.4-r1.apk 25-Oct-2024 19:10 67611
polyglot-doc-2.0.4-r1.apk 25-Oct-2024 19:10 48737
pomo-0.8.1-r20.apk 12-Feb-2025 17:34 1579539
pomo-doc-0.8.1-r20.apk 12-Feb-2025 17:34 2732
pongoos-loader-0_git20210704-r1.apk 25-Oct-2024 19:10 2428
popeye-0.22.1-r1.apk 12-Feb-2025 17:34 26516043
porla-0.41.0-r2.apk 24-Feb-2025 15:36 4038934
porla-doc-0.41.0-r2.apk 24-Feb-2025 15:36 2245
porla-openrc-0.41.0-r2.apk 24-Feb-2025 15:36 2753
portsmf-239-r1.apk 25-Oct-2024 19:10 56427
portsmf-dev-239-r1.apk 25-Oct-2024 19:10 20762
postgresql-hll-2.18-r0.apk 25-Oct-2024 19:10 26786
postgresql-hll-bitcode-2.18-r0.apk 25-Oct-2024 19:10 56272
postgresql-pg_graphql-1.5.9-r0.apk 25-Dec-2024 18:57 555638
postgresql-pg_later-0.0.14-r1.apk 25-Oct-2024 19:10 596966
postgresql-pg_partman-5.0.0-r0.apk 25-Oct-2024 19:10 994533
postgresql-pg_partman-bitcode-5.0.0-r0.apk 25-Oct-2024 19:10 22329
postgresql-pg_partman-doc-5.0.0-r0.apk 25-Oct-2024 19:10 48525
postgresql-pg_partman-scripts-5.0.0-r0.apk 25-Oct-2024 19:10 7893
postgresql-pg_variables-1.2.5_git20230922-r0.apk 25-Oct-2024 19:10 23556
postgresql-pg_variables-bitcode-1.2.5_git202309..> 25-Oct-2024 19:10 54995
postgresql-pgmq-1.1.1-r1.apk 25-Oct-2024 19:10 251218
postgresql16-wal2json-2.6-r0.apk 25-Oct-2024 19:10 69845
pounce-3.1-r3.apk 25-Oct-2024 19:10 32244
pounce-doc-3.1-r3.apk 25-Oct-2024 19:10 8761
pounce-openrc-3.1-r3.apk 25-Oct-2024 19:10 2941
powder-toy-97.0.352-r1.apk 25-Oct-2024 19:10 824536
powerctl-1.1-r5.apk 25-Oct-2024 19:10 117025
powerctl-doc-1.1-r5.apk 25-Oct-2024 19:10 3270
powerstat-0.04.01-r0.apk 25-Oct-2024 19:10 19034
powerstat-bash-completion-0.04.01-r0.apk 25-Oct-2024 19:10 2348
powerstat-doc-0.04.01-r0.apk 25-Oct-2024 19:10 4365
ppl-1.2-r1.apk 25-Oct-2024 19:10 37301
ppl-dev-1.2-r1.apk 25-Oct-2024 19:10 627232
ppl-doc-1.2-r1.apk 25-Oct-2024 19:10 9529632
pptpclient-1.10.0-r5.apk 25-Oct-2024 19:10 33797
pptpclient-doc-1.10.0-r5.apk 25-Oct-2024 19:10 7351
pqiv-2.12-r1.apk 25-Oct-2024 19:10 65520
pqiv-doc-2.12-r1.apk 25-Oct-2024 19:10 12315
predict-2.3.1-r0.apk 24-Nov-2024 07:46 93414
predict-doc-2.3.1-r0.apk 24-Nov-2024 07:46 16469
prettier-3.4.2-r0.apk 10-Dec-2024 07:55 1993014
prettier-doc-3.4.2-r0.apk 10-Dec-2024 07:55 21170
primecount-7.14-r0.apk 25-Oct-2024 19:10 29924
primecount-dev-7.14-r0.apk 25-Oct-2024 19:10 2066241
primecount-doc-7.14-r0.apk 25-Oct-2024 19:10 3943
primecount-libs-7.14-r0.apk 25-Oct-2024 19:10 135679
primesieve-12.6-r0.apk 14-Dec-2024 18:12 42966
primesieve-dev-12.6-r0.apk 14-Dec-2024 18:12 1354939
primesieve-doc-12.6-r0.apk 14-Dec-2024 18:12 4099
primesieve-libs-12.6-r0.apk 14-Dec-2024 18:12 113043
prjtrellis-1.4-r2.apk 25-Oct-2024 19:10 1252728
prjtrellis-db-0_git20230929-r0.apk 25-Oct-2024 19:10 3376
prjtrellis-db-ecp5-0_git20230929-r0.apk 25-Oct-2024 19:10 2236783
prjtrellis-db-machxo-0_git20230929-r0.apk 25-Oct-2024 19:10 40144
prjtrellis-db-machxo2-0_git20230929-r0.apk 25-Oct-2024 19:10 1037684
prjtrellis-db-machxo3-0_git20230929-r0.apk 25-Oct-2024 19:10 1117818
prjtrellis-db-machxo3d-0_git20230929-r0.apk 25-Oct-2024 19:10 765693
projectm-3.1.12-r2.apk 25-Oct-2024 19:10 444342
projectm-dev-3.1.12-r2.apk 25-Oct-2024 19:10 643892
projectm-presets-3.1.12-r2.apk 25-Oct-2024 19:10 4571035
projectm-pulseaudio-3.1.12-r2.apk 25-Oct-2024 19:10 417873
projectm-pulseaudio-doc-3.1.12-r2.apk 25-Oct-2024 19:10 2053
projectm-sdl-3.1.12-r2.apk 25-Oct-2024 19:10 326028
projectsandcastle-loader-0_git20200307-r1.apk 25-Oct-2024 19:10 5092
prometheus-bind-exporter-0.7.0-r8.apk 12-Feb-2025 17:34 4431801
prometheus-bind-exporter-openrc-0.7.0-r8.apk 12-Feb-2025 17:34 1855
prometheus-ceph-exporter-4.2.5-r1.apk 12-Feb-2025 17:34 3421441
prometheus-ceph-exporter-openrc-4.2.5-r1.apk 12-Feb-2025 17:34 1877
prometheus-ipmi-exporter-1.8.0-r2.apk 12-Feb-2025 17:34 4080760
prometheus-ipmi-exporter-doc-1.8.0-r2.apk 12-Feb-2025 17:34 6702
prometheus-ipmi-exporter-openrc-1.8.0-r2.apk 12-Feb-2025 17:34 1925
prometheus-opnsense-exporter-0.0.5-r2.apk 12-Feb-2025 17:34 4252124
prometheus-opnsense-exporter-openrc-0.0.5-r2.apk 12-Feb-2025 17:34 2076
prometheus-podman-exporter-1.13.3-r2.apk 12-Feb-2025 17:34 14534997
prometheus-rethinkdb-exporter-1.0.1-r25.apk 12-Feb-2025 17:34 3961582
prometheus-rethinkdb-exporter-openrc-1.0.1-r25.apk 12-Feb-2025 17:34 1640
prometheus-smartctl-exporter-0.13.0-r2.apk 12-Feb-2025 17:34 4367491
prometheus-smartctl-exporter-openrc-0.13.0-r2.apk 12-Feb-2025 17:34 1873
prometheus-smokeping-prober-0.7.1-r9.apk 12-Feb-2025 17:34 4347285
prometheus-smokeping-prober-openrc-0.7.1-r9.apk 12-Feb-2025 17:34 1986
prometheus-unbound-exporter-0.4.6-r2.apk 12-Feb-2025 17:34 3478962
prometheus-unbound-exporter-openrc-0.4.6-r2.apk 12-Feb-2025 17:34 1935
proot-5.4.0-r1.apk 25-Oct-2024 19:10 73858
proot-doc-5.4.0-r1.apk 25-Oct-2024 19:10 10546
proot-static-5.4.0-r1.apk 25-Oct-2024 19:10 121630
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2880
prosody-mod-auth_pam-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1848
prosody-mod-auth_sql-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2702
prosody-mod-block_registrations-0.11_hg20201208..> 25-Oct-2024 19:10 1805
prosody-mod-bookmarks-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 3183
prosody-mod-broadcast-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1890
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r..> 25-Oct-2024 19:10 2050
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 7387
prosody-mod-conversejs-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 3342
prosody-mod-host_guard-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2896
prosody-mod-http_upload_external-0.11_hg2020120..> 25-Oct-2024 19:10 2958
prosody-mod-ipcheck-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2003
prosody-mod-log_auth-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1803
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2234
prosody-mod-mam-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 6024
prosody-mod-mam_muc-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 5684
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0..> 25-Oct-2024 19:10 7024
prosody-mod-pastebin-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 3805
prosody-mod-register_json-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 105987
prosody-mod-register_redirect-0.11_hg20201208-r..> 25-Oct-2024 19:10 2770
prosody-mod-reload_modules-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2045
prosody-mod-require_otr-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1748
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0..> 25-Oct-2024 19:10 2061
prosody-mod-saslname-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1645
prosody-mod-server_status-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2842
prosody-mod-smacks-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 8785
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2082
prosody-mod-support_contact-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2071
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2722
prosody-mod-webpresence-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 2698
prosody-modules-0.11_hg20201208-r0.apk 25-Oct-2024 19:10 1485
protoc-gen-go-1.36.4-r1.apk 12-Feb-2025 17:34 1916631
protoc-gen-js-3.21.4-r1.apk 25-Oct-2024 19:10 1536360
protoconf-0.1.7-r10.apk 12-Feb-2025 17:34 7401115
prowlarr-1.30.2.4939-r0.apk 01-Feb-2025 16:09 19887478
prowlarr-openrc-1.30.2.4939-r0.apk 01-Feb-2025 16:09 2022
psftools-1.1.2-r0.apk 25-Oct-2024 19:10 347067
psftools-dev-1.1.2-r0.apk 25-Oct-2024 19:10 81304
psftools-doc-1.1.2-r0.apk 25-Oct-2024 19:10 61077
psi-notify-1.3.1-r0.apk 25-Oct-2024 19:10 10930
psi-plus-1.5.1965-r0.apk 25-Oct-2024 19:10 8972412
psi-plus-plugins-1.5.1965-r0.apk 25-Oct-2024 19:10 1785588
pspp-2.0.1-r0.apk 25-Oct-2024 19:10 10210896
pspp-dbg-2.0.1-r0.apk 25-Oct-2024 19:10 4498354
pspp-doc-2.0.1-r0.apk 25-Oct-2024 19:10 9229
psst-0_git20240526-r1.apk 25-Oct-2024 19:10 7617868
ptpd-2.3.1-r1.apk 25-Oct-2024 19:10 173831
ptpd-doc-2.3.1-r1.apk 25-Oct-2024 19:10 20768
ptpd-openrc-2.3.1-r1.apk 25-Oct-2024 19:10 2442
ptylie-0.2-r1.apk 25-Oct-2024 19:10 11819
ptylie-doc-0.2-r1.apk 25-Oct-2024 19:10 3224
ptyxis-47.10-r0.apk 17-Feb-2025 15:08 278230
ptyxis-doc-47.10-r0.apk 17-Feb-2025 15:08 2946
ptyxis-lang-47.10-r0.apk 17-Feb-2025 15:08 245393
pully-1.0.0-r0.apk 25-Oct-2024 19:10 2583
pully-openrc-1.0.0-r0.apk 25-Oct-2024 19:10 1759
pulsar-client-cpp-3.1.2-r4.apk 25-Oct-2024 19:10 1246523
pulsar-client-cpp-dev-3.1.2-r4.apk 25-Oct-2024 19:10 55891
pulseview-0.4.2-r8.apk 25-Oct-2024 19:10 937494
pulseview-doc-0.4.2-r8.apk 25-Oct-2024 19:10 3747
pulumi-watch-0.1.5-r2.apk 25-Oct-2024 19:10 825534
pure-data-0.54.1-r0.apk 25-Oct-2024 19:10 1765756
pure-data-dev-0.54.1-r0.apk 25-Oct-2024 19:10 51680
pure-data-doc-0.54.1-r0.apk 25-Oct-2024 19:10 1959899
pure-data-libs-0.54.1-r0.apk 25-Oct-2024 19:10 649672
purple-facebook-0.9.6-r0.apk 25-Oct-2024 19:10 77298
purple-hangouts-0_git20200422-r0.apk 25-Oct-2024 19:10 224505
pw-volume-0.5.0-r1.apk 25-Oct-2024 19:10 316479
pwauth-2.3.11-r2.apk 25-Oct-2024 19:10 3961
pwauth-doc-2.3.11-r2.apk 25-Oct-2024 19:10 6962
pwru-1.0.7-r2.apk 12-Feb-2025 17:34 3225806
pxalarm-3.0.0-r0.apk 25-Oct-2024 19:10 2948
pxmenu-1.0.0-r1.apk 25-Oct-2024 19:10 2947
py-spy-0.3.14-r3.apk 25-Oct-2024 19:10 903994
py-spy-bash-completion-0.3.14-r3.apk 25-Oct-2024 19:10 2395
py-spy-doc-0.3.14-r3.apk 25-Oct-2024 19:10 2327
py-spy-fish-completion-0.3.14-r3.apk 25-Oct-2024 19:10 2633
py-spy-zsh-completion-0.3.14-r3.apk 25-Oct-2024 19:10 3102
py3-actdiag-3.0.0-r5.apk 25-Oct-2024 19:10 17685
py3-actdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:10 22001
py3-aesedb-0.1.6-r2.apk 25-Oct-2024 19:10 38139
py3-aesedb-pyc-0.1.6-r2.apk 25-Oct-2024 19:10 77523
py3-agithub-2.2.2-r6.apk 25-Oct-2024 19:10 18976
py3-agithub-pyc-2.2.2-r6.apk 25-Oct-2024 19:10 22101
py3-aiodocker-0.21.0-r1.apk 25-Oct-2024 19:10 30019
py3-aiodocker-pyc-0.21.0-r1.apk 25-Oct-2024 19:10 61835
py3-aiohttp-debugtoolbar-0.6.1-r2.apk 25-Oct-2024 19:10 456617
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk 25-Oct-2024 19:10 52415
py3-aiohttp-jinja2-1.6-r2.apk 25-Oct-2024 19:10 12683
py3-aiohttp-jinja2-pyc-1.6-r2.apk 25-Oct-2024 19:10 9451
py3-aiohttp-remotes-1.3.0-r0.apk 04-Nov-2024 12:28 10262
py3-aiohttp-remotes-pyc-1.3.0-r0.apk 04-Nov-2024 12:28 19311
py3-aiohttp-session-2.12.1-r0.apk 25-Oct-2024 19:10 10684
py3-aiohttp-session-pyc-2.12.1-r0.apk 25-Oct-2024 19:10 15147
py3-aioopenssl-0.6.0-r4.apk 25-Oct-2024 19:10 21319
py3-aioopenssl-pyc-0.6.0-r4.apk 25-Oct-2024 19:10 19594
py3-aiosasl-0.5.0-r4.apk 25-Oct-2024 19:10 30294
py3-aiosasl-doc-0.5.0-r4.apk 25-Oct-2024 19:10 16850
py3-aiosasl-pyc-0.5.0-r4.apk 25-Oct-2024 19:10 24500
py3-aiosmb-0.4.11-r0.apk 25-Oct-2024 19:10 619082
py3-aiosmb-pyc-0.4.11-r0.apk 25-Oct-2024 19:10 1133553
py3-aiowinreg-0.0.12-r0.apk 25-Oct-2024 19:10 23650
py3-aiowinreg-pyc-0.0.12-r0.apk 25-Oct-2024 19:10 46528
py3-aioxmpp-0.13.3-r3.apk 25-Oct-2024 19:10 396983
py3-aioxmpp-doc-0.13.3-r3.apk 25-Oct-2024 19:10 18778
py3-aioxmpp-pyc-0.13.3-r3.apk 25-Oct-2024 19:10 689276
py3-allfiles-1.0-r8.apk 25-Oct-2024 19:10 3654
py3-allfiles-pyc-1.0-r8.apk 25-Oct-2024 19:10 3344
py3-altgraph-0.17.4-r1.apk 25-Oct-2024 19:10 21222
py3-altgraph-pyc-0.17.4-r1.apk 25-Oct-2024 19:10 29834
py3-ansi2html-1.9.2-r0.apk 25-Oct-2024 19:10 18065
py3-ansi2html-pyc-1.9.2-r0.apk 25-Oct-2024 19:10 22409
py3-anyascii-0.3.2-r1.apk 25-Oct-2024 19:10 281319
py3-anyascii-pyc-0.3.2-r1.apk 25-Oct-2024 19:10 3411
py3-apicula-0.11.1-r1.apk 25-Oct-2024 19:10 8891837
py3-apicula-pyc-0.11.1-r1.apk 25-Oct-2024 19:10 183787
py3-apio-0.9.5-r0.apk 25-Oct-2024 19:10 74095
py3-apio-pyc-0.9.5-r0.apk 25-Oct-2024 19:10 79053
py3-apk3-3.0.0_rc4_git20250121-r0.apk 24-Feb-2025 12:00 4403
py3-apsw-3.49.1.0-r0.apk 25-Feb-2025 06:33 836856
py3-apsw-pyc-3.49.1.0-r0.apk 25-Feb-2025 06:33 538957
py3-arcus-5.3.0-r1.apk 25-Oct-2024 19:10 87889
py3-asif-0.3.2-r3.apk 25-Oct-2024 19:10 13665
py3-asif-pyc-0.3.2-r3.apk 25-Oct-2024 19:10 26506
py3-ask-0.0.8-r8.apk 25-Oct-2024 19:10 5122
py3-ask-pyc-0.0.8-r8.apk 25-Oct-2024 19:10 4582
py3-astral-3.2-r3.apk 25-Oct-2024 19:10 37916
py3-astral-pyc-3.2-r3.apk 25-Oct-2024 19:10 60336
py3-asyauth-0.0.21-r0.apk 25-Oct-2024 19:10 81392
py3-asyauth-pyc-0.0.21-r0.apk 25-Oct-2024 19:10 175479
py3-async-lru-2.0.4-r1.apk 25-Oct-2024 19:10 7460
py3-async-lru-pyc-2.0.4-r1.apk 25-Oct-2024 19:10 8817
py3-asysocks-0.2.13-r0.apk 25-Oct-2024 19:10 89059
py3-asysocks-pyc-0.2.13-r0.apk 25-Oct-2024 19:10 237392
py3-avro-1.11.3-r1.apk 25-Oct-2024 19:10 100016
py3-avro-pyc-1.11.3-r1.apk 25-Oct-2024 19:10 195955
py3-b2sdk-2.8.0-r0.apk 26-Jan-2025 23:25 219951
py3-b2sdk-pyc-2.8.0-r0.apk 26-Jan-2025 23:25 412187
py3-banal-1.0.6-r4.apk 25-Oct-2024 19:10 7043
py3-banal-pyc-1.0.6-r4.apk 25-Oct-2024 19:10 7384
py3-bandwidth-sdk-3.1.0-r8.apk 25-Oct-2024 19:10 47105
py3-bandwidth-sdk-pyc-3.1.0-r8.apk 25-Oct-2024 19:10 70852
py3-barcodenumber-0.2.1-r10.apk 25-Oct-2024 19:10 16719
py3-barcodenumber-pyc-0.2.1-r10.apk 25-Oct-2024 19:10 4364
py3-base58-2.1.1-r2.apk 25-Oct-2024 19:10 11357
py3-beartype-0.20.0-r0.apk 25-Feb-2025 21:04 910962
py3-beartype-pyc-0.20.0-r0.apk 25-Feb-2025 21:04 665241
py3-bencode-4.0.0-r1.apk 25-Oct-2024 19:10 17501
py3-bencode-pyc-4.0.0-r1.apk 25-Oct-2024 19:10 10714
py3-bibtexparser-1.4.3-r0.apk 26-Jan-2025 06:27 41199
py3-bibtexparser-pyc-1.4.3-r0.apk 26-Jan-2025 06:27 49955
py3-bidict-0.23.1-r1.apk 25-Oct-2024 19:10 28384
py3-bidict-pyc-0.23.1-r1.apk 25-Oct-2024 19:10 29452
py3-bite-parser-0.2.5-r0.apk 28-Oct-2024 21:51 13966
py3-bite-parser-pyc-0.2.5-r0.apk 28-Oct-2024 21:51 24057
py3-bitstruct-8.19.0-r1.apk 25-Oct-2024 19:10 36404
py3-bitstruct-pyc-8.19.0-r1.apk 25-Oct-2024 19:10 13090
py3-bleak-0.22.3-r0.apk 25-Oct-2024 19:10 378689
py3-blockchain-1.4.4-r7.apk 25-Oct-2024 19:10 11234
py3-blockchain-pyc-1.4.4-r7.apk 25-Oct-2024 19:10 18289
py3-blockdiag-3.0.0-r6.apk 10-Jan-2025 16:19 69655
py3-blockdiag-pyc-3.0.0-r6.apk 10-Jan-2025 16:19 152404
py3-blockdiag-tests-3.0.0-r6.apk 10-Jan-2025 16:19 2627088
py3-bookkeeper-4.17.1-r0.apk 25-Oct-2024 19:10 43931
py3-bookkeeper-pyc-4.17.1-r0.apk 25-Oct-2024 19:10 68221
py3-bottle-api-0.0.4-r7.apk 25-Oct-2024 19:10 4991
py3-bottle-api-pyc-0.0.4-r7.apk 25-Oct-2024 19:10 5342
py3-bottle-pgsql-0.2-r5.apk 25-Oct-2024 19:10 4375
py3-bottle-redis-0.2.3-r6.apk 25-Oct-2024 19:10 3380
py3-bottle-redis-pyc-0.2.3-r6.apk 25-Oct-2024 19:10 3203
py3-bottle-renderer-0.1.1-r9.apk 25-Oct-2024 19:10 4080
py3-bottle-renderer-pyc-0.1.1-r9.apk 25-Oct-2024 19:10 3825
py3-bottle-request-0.2.0-r9.apk 25-Oct-2024 19:10 3288
py3-bottle-request-pyc-0.2.0-r9.apk 25-Oct-2024 19:10 2660
py3-bottle-rest-0.6.0-r1.apk 25-Oct-2024 19:10 6256
py3-bottle-rest-pyc-0.6.0-r1.apk 25-Oct-2024 19:10 5301
py3-bottle-session-1.0-r6.apk 25-Oct-2024 19:10 10442
py3-bottle-session-pyc-1.0-r6.apk 25-Oct-2024 19:10 7982
py3-bottle-sqlalchemy-0.4.3-r8.apk 25-Oct-2024 19:10 4966
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk 25-Oct-2024 19:10 5770
py3-bottle-sqlite-0.2.0-r7.apk 25-Oct-2024 19:10 4811
py3-bottle-sqlite-pyc-0.2.0-r7.apk 25-Oct-2024 19:10 5364
py3-bottle-websocket-0.2.9-r8.apk 25-Oct-2024 19:10 4752
py3-bottle-websocket-pyc-0.2.9-r8.apk 25-Oct-2024 19:10 3210
py3-bottle-werkzeug-0.1.1-r9.apk 25-Oct-2024 19:10 4192
py3-bottle-werkzeug-pyc-0.1.1-r9.apk 25-Oct-2024 19:10 4354
py3-bson-0.5.10-r6.apk 25-Oct-2024 19:10 11973
py3-bson-pyc-0.5.10-r6.apk 25-Oct-2024 19:10 18948
py3-businesstime-0.3.0-r9.apk 25-Oct-2024 19:10 10890
py3-businesstime-pyc-0.3.0-r9.apk 25-Oct-2024 19:10 16731
py3-c3d-0.5.2-r1.apk 25-Oct-2024 19:10 32847
py3-c3d-pyc-0.5.2-r1.apk 25-Oct-2024 19:10 54990
py3-caldav-1.4.0-r0.apk 09-Nov-2024 18:59 69318
py3-caldav-pyc-1.4.0-r0.apk 09-Nov-2024 18:59 92584
py3-cassandra-driver-3.29.2-r0.apk 25-Oct-2024 19:10 292705
py3-cassandra-driver-pyc-3.29.2-r0.apk 25-Oct-2024 19:10 573389
py3-catkin-pkg-0.5.2-r4.apk 25-Oct-2024 19:10 58519
py3-catkin-pkg-pyc-0.5.2-r4.apk 25-Oct-2024 19:10 105098
py3-cchardet-2.1.7-r5.apk 25-Oct-2024 19:10 126250
py3-cchardet-pyc-2.1.7-r5.apk 25-Oct-2024 19:10 3126
py3-cdio-2.1.1-r6.apk 26-Jan-2025 06:27 101994
py3-cdio-pyc-2.1.1-r6.apk 26-Jan-2025 06:27 44183
py3-certauth-1.3.0-r1.apk 25-Oct-2024 19:10 8918
py3-certauth-pyc-1.3.0-r1.apk 25-Oct-2024 19:10 9307
py3-chameleon-4.5.4-r0.apk 25-Oct-2024 19:10 99826
py3-chameleon-pyc-4.5.4-r0.apk 25-Oct-2024 19:10 134192
py3-ciso8601-2.3.1-r1.apk 25-Oct-2024 19:10 16627
py3-cjkwrap-2.2-r4.apk 25-Oct-2024 19:10 4723
py3-cjkwrap-pyc-2.2-r4.apk 25-Oct-2024 19:10 5360
py3-class-doc-1.25-r1.apk 25-Oct-2024 19:10 6204
py3-class-doc-pyc-1.25-r1.apk 25-Oct-2024 19:10 8921
py3-click-completion-0.5.2-r1.apk 25-Oct-2024 19:10 11052
py3-click-completion-pyc-0.5.2-r1.apk 25-Oct-2024 19:10 14587
py3-click-default-group-1.2.4-r1.apk 25-Oct-2024 19:10 5271
py3-click-default-group-pyc-1.2.4-r1.apk 25-Oct-2024 19:10 4636
py3-click-threading-0.5.0-r5.apk 25-Oct-2024 19:10 6499
py3-click-threading-pyc-0.5.0-r5.apk 25-Oct-2024 19:10 8045
py3-clickclick-20.10.2-r4.apk 25-Oct-2024 19:10 8140
py3-clickclick-pyc-20.10.2-r4.apk 25-Oct-2024 19:10 10008
py3-cmd2-2.4.3-r2.apk 25-Oct-2024 19:10 142765
py3-cmd2-pyc-2.4.3-r2.apk 25-Oct-2024 19:10 227956
py3-cobs-1.2.0-r4.apk 25-Oct-2024 19:10 21272
py3-cobs-pyc-1.2.0-r4.apk 25-Oct-2024 19:10 12753
py3-colander-2.0-r2.apk 25-Oct-2024 19:10 63969
py3-colander-pyc-2.0-r2.apk 25-Oct-2024 19:10 43502
py3-colorthief-0.2.1-r1.apk 25-Oct-2024 19:10 7488
py3-colorthief-pyc-0.2.1-r1.apk 25-Oct-2024 19:10 10292
py3-columnize-0.3.11-r4.apk 25-Oct-2024 19:10 8730
py3-columnize-pyc-0.3.11-r4.apk 25-Oct-2024 19:10 7662
py3-compdb-0.2.0-r8.apk 25-Oct-2024 19:10 23863
py3-compdb-doc-0.2.0-r8.apk 25-Oct-2024 19:10 3115
py3-compdb-pyc-0.2.0-r8.apk 25-Oct-2024 19:10 40577
py3-confluent-kafka-1.8.2-r5.apk 25-Oct-2024 19:10 101107
py3-confluent-kafka-pyc-1.8.2-r5.apk 25-Oct-2024 19:10 78888
py3-cookiecutter-2.6.0-r1.apk 25-Oct-2024 19:10 36223
py3-cookiecutter-doc-2.6.0-r1.apk 25-Oct-2024 19:10 3815
py3-cookiecutter-pyc-2.6.0-r1.apk 25-Oct-2024 19:10 48636
py3-coreapi-2.3.3-r9.apk 25-Oct-2024 19:10 22762
py3-coreapi-pyc-2.3.3-r9.apk 25-Oct-2024 19:10 44306
py3-crc16-0.1.1-r10.apk 25-Oct-2024 19:10 12961
py3-crc16-pyc-0.1.1-r10.apk 25-Oct-2024 19:10 4815
py3-createrepo_c-1.1.4-r0.apk 25-Oct-2024 19:10 41945
py3-createrepo_c-pyc-1.1.4-r0.apk 25-Oct-2024 19:10 14863
py3-cssutils-2.11.1-r1.apk 25-Oct-2024 19:10 158926
py3-cssutils-pyc-2.11.1-r1.apk 25-Oct-2024 19:10 285335
py3-cstruct-5.3-r1.apk 25-Oct-2024 19:10 22575
py3-cstruct-pyc-5.3-r1.apk 25-Oct-2024 19:10 37005
py3-cucumber-tag-expressions-6.1.1-r0.apk 01-Dec-2024 20:13 8875
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk 01-Dec-2024 20:13 10675
py3-cvxpy-1.2.1-r5.apk 25-Oct-2024 19:10 672021
py3-cvxpy-pyc-1.2.1-r5.apk 25-Oct-2024 19:10 958548
py3-cython-test-exception-raiser-1.0.2-r0.apk 25-Oct-2024 19:10 18382
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk 25-Oct-2024 19:10 1872
py3-dataclasses-json-0.6.7-r0.apk 25-Oct-2024 19:10 28066
py3-dataclasses-json-pyc-0.6.7-r0.apk 25-Oct-2024 19:10 36511
py3-dataclasses-serialization-1.3.1-r3.apk 25-Oct-2024 19:10 11222
py3-dataclasses-serialization-pyc-1.3.1-r3.apk 25-Oct-2024 19:10 14615
py3-dateparser-1.2.0-r0.apk 23-Nov-2024 04:18 201344
py3-dateparser-pyc-1.2.0-r0.apk 23-Nov-2024 04:18 341748
py3-daterangestr-0.0.3-r8.apk 25-Oct-2024 19:10 4458
py3-daterangestr-pyc-0.0.3-r8.apk 25-Oct-2024 19:10 4324
py3-dbus-fast-2.24.4-r0.apk 20-Nov-2024 00:45 579864
py3-dbus-fast-doc-2.24.4-r0.apk 20-Nov-2024 00:45 5495
py3-dbus-fast-pyc-2.24.4-r0.apk 20-Nov-2024 00:45 128658
py3-deluge-client-1.10.2-r0.apk 25-Oct-2024 19:10 13203
py3-deluge-client-doc-1.10.2-r0.apk 25-Oct-2024 19:10 2309
py3-deluge-client-pyc-1.10.2-r0.apk 25-Oct-2024 19:10 20125
py3-dep-logic-0.4.10-r0.apk 15-Dec-2024 22:08 28488
py3-dep-logic-pyc-0.4.10-r0.apk 15-Dec-2024 22:08 54422
py3-dexml-0.5.1-r9.apk 25-Oct-2024 19:10 22744
py3-dexml-pyc-0.5.1-r9.apk 25-Oct-2024 19:10 38391
py3-discid-1.2.0-r6.apk 25-Oct-2024 19:10 24386
py3-discid-pyc-1.2.0-r6.apk 25-Oct-2024 19:10 13386
py3-distorm3-3.5.2-r6.apk 25-Oct-2024 19:10 48049
py3-distorm3-pyc-3.5.2-r6.apk 25-Oct-2024 19:10 49822
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk 25-Oct-2024 19:10 15007
py3-django-compress-staticfiles-pyc-1.0.1_beta0..> 25-Oct-2024 19:10 15265
py3-django-suit-0.2.28-r8.apk 25-Oct-2024 19:10 374543
py3-django-suit-pyc-0.2.28-r8.apk 25-Oct-2024 19:10 33278
py3-django-taggit-serializer-0.1.7-r8.apk 25-Oct-2024 19:10 4122
py3-django-taggit-serializer-pyc-0.1.7-r8.apk 25-Oct-2024 19:10 5076
py3-dnslib-0.9.25-r0.apk 25-Oct-2024 19:10 52995
py3-dnslib-pyc-0.9.25-r0.apk 25-Oct-2024 19:10 111469
py3-dogpile.cache-1.3.3-r0.apk 25-Oct-2024 19:10 53998
py3-dogpile.cache-pyc-1.3.3-r0.apk 25-Oct-2024 19:10 92622
py3-doit-0.36.0-r5.apk 25-Oct-2024 19:10 78338
py3-doit-pyc-0.36.0-r5.apk 25-Oct-2024 19:10 136445
py3-dominate-2.9.1-r1.apk 25-Oct-2024 19:10 25345
py3-dominate-pyc-2.9.1-r1.apk 25-Oct-2024 19:10 34541
py3-dotty-dict-1.3.1-r4.apk 25-Oct-2024 19:10 8597
py3-dotty-dict-pyc-1.3.1-r4.apk 25-Oct-2024 19:10 8887
py3-downloader-cli-0.3.4-r1.apk 25-Oct-2024 19:10 11780
py3-downloader-cli-pyc-0.3.4-r1.apk 25-Oct-2024 19:10 14608
py3-dpath-2.2.0-r0.apk 25-Oct-2024 19:10 17434
py3-dpath-pyc-2.2.0-r0.apk 25-Oct-2024 19:10 18193
py3-drf-yasg-1.21.7-r2.apk 25-Oct-2024 19:10 4248345
py3-drf-yasg-pyc-1.21.7-r2.apk 25-Oct-2024 19:10 99684
py3-dt-schema-2024.11-r0.apk 11-Nov-2024 18:46 79740
py3-dt-schema-pyc-2024.11-r0.apk 11-Nov-2024 18:46 51297
py3-dunamai-1.23.0-r0.apk 10-Dec-2024 07:55 26819
py3-dunamai-pyc-1.23.0-r0.apk 10-Dec-2024 07:55 43869
py3-duniterpy-1.1.1-r3.apk 25-Oct-2024 19:10 226634
py3-dweepy-0.3.0-r7.apk 25-Oct-2024 19:10 9308
py3-dweepy-pyc-0.3.0-r7.apk 25-Oct-2024 19:10 6409
py3-ecos-2.0.11-r4.apk 25-Oct-2024 19:10 28400
py3-ecos-pyc-2.0.11-r4.apk 25-Oct-2024 19:10 3719
py3-edalize-0.5.4-r0.apk 25-Oct-2024 19:10 125541
py3-edalize-pyc-0.5.4-r0.apk 25-Oct-2024 19:10 194736
py3-editdistance-s-1.0.0-r6.apk 25-Oct-2024 19:10 14864
py3-editdistance-s-pyc-1.0.0-r6.apk 25-Oct-2024 19:10 2075
py3-empy-3.3.4-r7.apk 25-Oct-2024 19:10 40263
py3-empy-pyc-3.3.4-r7.apk 25-Oct-2024 19:10 59898
py3-enzyme-0.5.1-r0.apk 25-Oct-2024 19:10 23712
py3-enzyme-pyc-0.5.1-r0.apk 25-Oct-2024 19:10 19380
py3-eradicate-2.3.0-r2.apk 25-Oct-2024 19:10 7747
py3-eradicate-doc-2.3.0-r2.apk 25-Oct-2024 19:10 2583
py3-eradicate-pyc-2.3.0-r2.apk 25-Oct-2024 19:10 8550
py3-euclid3-0.01-r8.apk 25-Oct-2024 19:10 14513
py3-euclid3-pyc-0.01-r8.apk 25-Oct-2024 19:10 33338
py3-eventlet-0.38.1-r0.apk 11-Dec-2024 21:36 340182
py3-eventlet-pyc-0.38.1-r0.apk 11-Dec-2024 21:36 343692
py3-evohome-client-0.3.7-r4.apk 25-Oct-2024 19:10 19409
py3-evohome-client-pyc-0.3.7-r4.apk 25-Oct-2024 19:10 27691
py3-fastavro-1.10.0-r0.apk 21-Dec-2024 10:25 416776
py3-fastavro-pyc-1.10.0-r0.apk 21-Dec-2024 10:25 83954
py3-fastdiff-0.3.0-r5.apk 25-Oct-2024 19:10 39358
py3-fastdiff-pyc-0.3.0-r5.apk 25-Oct-2024 19:10 4303
py3-feedgen-1.0.0-r1.apk 25-Oct-2024 19:10 41197
py3-feedgen-pyc-1.0.0-r1.apk 25-Oct-2024 19:10 63173
py3-feedgenerator-2.1.0-r2.apk 25-Oct-2024 19:10 18454
py3-feedgenerator-pyc-2.1.0-r2.apk 25-Oct-2024 19:10 27394
py3-ffmpeg-0.2.0-r4.apk 25-Oct-2024 19:10 24599
py3-ffmpeg-pyc-0.2.0-r4.apk 25-Oct-2024 19:10 33559
py3-findpython-0.6.2-r0.apk 25-Oct-2024 19:10 17678
py3-findpython-pyc-0.6.2-r0.apk 25-Oct-2024 19:10 30564
py3-firmata-1.0.3-r10.apk 25-Oct-2024 19:10 14525
py3-firmata-pyc-1.0.3-r10.apk 25-Oct-2024 19:10 21377
py3-flake8-blind-except-0.2.1-r4.apk 25-Oct-2024 19:10 5326
py3-flake8-blind-except-pyc-0.2.1-r4.apk 25-Oct-2024 19:10 2652
py3-flake8-builtins-2.5.0-r0.apk 07-Dec-2024 21:51 13038
py3-flake8-builtins-pyc-2.5.0-r0.apk 07-Dec-2024 21:51 8063
py3-flake8-copyright-0.2.4-r3.apk 25-Oct-2024 19:10 18683
py3-flake8-copyright-pyc-0.2.4-r3.apk 25-Oct-2024 19:10 3414
py3-flake8-debugger-4.1.2-r4.apk 25-Oct-2024 19:10 6388
py3-flake8-debugger-pyc-4.1.2-r4.apk 25-Oct-2024 19:10 6107
py3-flake8-import-order-0.18.2-r4.apk 25-Oct-2024 19:10 15776
py3-flake8-import-order-pyc-0.18.2-r4.apk 25-Oct-2024 19:10 17175
py3-flake8-isort-6.1.1-r1.apk 25-Oct-2024 19:10 18628
py3-flake8-isort-pyc-6.1.1-r1.apk 25-Oct-2024 19:10 5498
py3-flake8-polyfill-1.0.2-r5.apk 25-Oct-2024 19:10 7240
py3-flake8-polyfill-pyc-1.0.2-r5.apk 25-Oct-2024 19:10 5830
py3-flake8-print-5.0.0-r5.apk 25-Oct-2024 19:10 6883
py3-flake8-print-pyc-5.0.0-r5.apk 25-Oct-2024 19:10 4518
py3-flake8-snippets-0.2-r8.apk 25-Oct-2024 19:10 5452
py3-flake8-snippets-pyc-0.2-r8.apk 25-Oct-2024 19:10 3760
py3-flake8-todo-0.7-r7.apk 25-Oct-2024 19:10 3682
py3-flake8-todo-pyc-0.7-r7.apk 25-Oct-2024 19:10 2284
py3-flask-accept-0.0.6-r1.apk 25-Oct-2024 19:10 5107
py3-flask-accept-pyc-0.0.6-r1.apk 25-Oct-2024 19:10 3793
py3-flask-admin-1.6.1-r3.apk 25-Oct-2024 19:10 6838819
py3-flask-admin-pyc-1.6.1-r3.apk 25-Oct-2024 19:10 366896
py3-flask-autorouter-0.2.2-r3.apk 25-Oct-2024 19:10 5204
py3-flask-autorouter-pyc-0.2.2-r3.apk 25-Oct-2024 19:10 5069
py3-flask-basicauth-0.2.0-r9.apk 25-Oct-2024 19:10 5467
py3-flask-basicauth-pyc-0.2.0-r9.apk 25-Oct-2024 19:10 4173
py3-flask-bcrypt-1.0.1-r5.apk 25-Oct-2024 19:10 7314
py3-flask-bcrypt-pyc-1.0.1-r5.apk 25-Oct-2024 19:10 5941
py3-flask-bootstrap-3.3.7.1-r8.apk 25-Oct-2024 19:10 460565
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk 25-Oct-2024 19:10 11191
py3-flask-cache-0.13.1-r9.apk 25-Oct-2024 19:10 13081
py3-flask-cache-pyc-0.13.1-r9.apk 25-Oct-2024 19:10 18718
py3-flask-cdn-1.5.3-r8.apk 25-Oct-2024 19:10 4875
py3-flask-cdn-pyc-1.5.3-r8.apk 25-Oct-2024 19:10 4182
py3-flask-components-0.1.1-r9.apk 25-Oct-2024 19:10 4023
py3-flask-components-pyc-0.1.1-r9.apk 25-Oct-2024 19:10 3386
py3-flask-dbconfig-0.3.12-r8.apk 25-Oct-2024 19:10 87745
py3-flask-dbconfig-pyc-0.3.12-r8.apk 25-Oct-2024 19:10 6403
py3-flask-flatpages-0.8.3-r0.apk 06-Dec-2024 22:59 10954
py3-flask-flatpages-pyc-0.8.3-r0.apk 06-Dec-2024 22:59 13979
py3-flask-gzip-0.2-r8.apk 25-Oct-2024 19:10 3259
py3-flask-gzip-pyc-0.2-r8.apk 25-Oct-2024 19:10 2892
py3-flask-headers-1.0-r9.apk 25-Oct-2024 19:10 3282
py3-flask-headers-pyc-1.0-r9.apk 25-Oct-2024 19:10 2513
py3-flask-httpauth-4.8.0-r2.apk 25-Oct-2024 19:10 8175
py3-flask-httpauth-pyc-4.8.0-r2.apk 25-Oct-2024 19:10 10844
py3-flask-json-schema-0.0.5-r4.apk 25-Oct-2024 19:10 4195
py3-flask-json-schema-pyc-0.0.5-r4.apk 25-Oct-2024 19:10 3518
py3-flask-limiter-3.10.1-r0.apk 26-Jan-2025 06:27 27246
py3-flask-limiter-pyc-3.10.1-r0.apk 26-Jan-2025 06:27 48102
py3-flask-loopback-1.4.7-r7.apk 25-Oct-2024 19:10 5704
py3-flask-loopback-pyc-1.4.7-r7.apk 25-Oct-2024 19:10 8113
py3-flask-mailman-1.1.1-r0.apk 25-Oct-2024 19:10 16864
py3-flask-mailman-pyc-1.1.1-r0.apk 25-Oct-2024 19:10 26356
py3-flask-markdown-0.3-r8.apk 25-Oct-2024 19:10 5751
py3-flask-markdown-pyc-0.3-r8.apk 25-Oct-2024 19:10 3950
py3-flask-migrate-4.0.7-r0.apk 25-Oct-2024 19:10 13401
py3-flask-migrate-pyc-4.0.7-r0.apk 25-Oct-2024 19:10 18567
py3-flask-paginate-0.8.1-r6.apk 25-Oct-2024 19:10 8410
py3-flask-paginate-pyc-0.8.1-r6.apk 25-Oct-2024 19:10 11464
py3-flask-peewee-3.0.6-r0.apk 25-Oct-2024 19:10 176171
py3-flask-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 19:10 97764
py3-flask-qrcode-3.2.0-r0.apk 12-Dec-2024 07:39 18462
py3-flask-qrcode-pyc-3.2.0-r0.apk 12-Dec-2024 07:39 6303
py3-flask-restaction-0.25.3-r8.apk 25-Oct-2024 19:10 117604
py3-flask-restaction-pyc-0.25.3-r8.apk 25-Oct-2024 19:10 20290
py3-flask-restless-0.17.0-r9.apk 25-Oct-2024 19:10 41453
py3-flask-restless-pyc-0.17.0-r9.apk 25-Oct-2024 19:10 60481
py3-flask-security-5.4.3-r2.apk 25-Oct-2024 19:10 273441
py3-flask-security-pyc-5.4.3-r2.apk 25-Oct-2024 19:10 215719
py3-flask-themer-2.0.0-r2.apk 25-Oct-2024 19:10 8085
py3-flask-themer-pyc-2.0.0-r2.apk 25-Oct-2024 19:10 7139
py3-forbiddenfruit-0.1.4-r2.apk 25-Oct-2024 19:10 9178
py3-forbiddenfruit-pyc-0.1.4-r2.apk 25-Oct-2024 19:10 9891
py3-fpdf-1.7.2-r5.apk 25-Oct-2024 19:10 40667
py3-fpdf-pyc-1.7.2-r5.apk 25-Oct-2024 19:10 91319
py3-freetype-py-2.5.1-r0.apk 25-Oct-2024 19:10 164805
py3-funcparserlib-1.0.1-r4.apk 25-Oct-2024 19:10 17022
py3-funcparserlib-pyc-1.0.1-r4.apk 25-Oct-2024 19:10 19118
py3-furl-2.1.3-r4.apk 25-Oct-2024 19:10 21888
py3-furl-pyc-2.1.3-r4.apk 25-Oct-2024 19:10 33127
py3-geoip-1.3.2-r4.apk 25-Oct-2024 19:10 23093
py3-gevent-websocket-0.10.1-r8.apk 25-Oct-2024 19:10 20215
py3-gevent-websocket-pyc-0.10.1-r8.apk 25-Oct-2024 19:10 31090
py3-git-versioner-7.1-r1.apk 25-Oct-2024 19:10 12251
py3-git-versioner-pyc-7.1-r1.apk 25-Oct-2024 19:10 13838
py3-github3-4.0.1-r1.apk 25-Oct-2024 19:10 131343
py3-github3-pyc-4.0.1-r1.apk 25-Oct-2024 19:10 232426
py3-glob2-0.7-r6.apk 25-Oct-2024 19:10 10514
py3-glob2-pyc-0.7-r6.apk 25-Oct-2024 19:10 13222
py3-gls-1.3.1-r1.apk 25-Oct-2024 19:10 47892
py3-gls-pyc-1.3.1-r1.apk 25-Oct-2024 19:10 86153
py3-gnucash-5.10-r1.apk 17-Feb-2025 15:08 300600
py3-google-trans-new-1.1.9-r2.apk 25-Oct-2024 19:10 9452
py3-google-trans-new-pyc-1.1.9-r2.apk 25-Oct-2024 19:10 10833
py3-googletrans-3.0.0-r5.apk 25-Oct-2024 19:10 15848
py3-googletrans-pyc-3.0.0-r5.apk 25-Oct-2024 19:10 17843
py3-grequests-0.7.0-r2.apk 25-Oct-2024 19:10 7009
py3-grequests-pyc-0.7.0-r2.apk 25-Oct-2024 19:10 6004
py3-gtkspellcheck-5.0.3-r0.apk 07-Dec-2024 20:32 45787
py3-gtkspellcheck-pyc-5.0.3-r0.apk 07-Dec-2024 20:32 30268
py3-halo-0.0.31-r5.apk 25-Oct-2024 19:10 11739
py3-halo-pyc-0.0.31-r5.apk 25-Oct-2024 19:10 14255
py3-hatch-openzim-0.2.0-r0.apk 25-Oct-2024 19:10 25098
py3-hatch-openzim-bootstrap-0.1.0-r0.apk 25-Oct-2024 19:10 24761
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk 25-Oct-2024 19:10 12992
py3-hatch-openzim-pyc-0.2.0-r0.apk 25-Oct-2024 19:10 13307
py3-helper-2.5.0-r5.apk 25-Oct-2024 19:10 19224
py3-helper-pyc-2.5.0-r5.apk 25-Oct-2024 19:10 28853
py3-hfst-3.16.0-r2.apk 25-Oct-2024 19:10 373124
py3-hg-git-1.1.1-r1.apk 25-Oct-2024 19:10 71778
py3-hg-git-pyc-1.1.1-r1.apk 25-Oct-2024 19:10 109355
py3-highctidh-1.0.2024092800-r0.apk 25-Nov-2024 20:23 385306
py3-highctidh-pyc-1.0.2024092800-r0.apk 25-Nov-2024 20:23 11857
py3-hishel-0.1.1-r0.apk 27-Feb-2025 22:30 33508
py3-hishel-pyc-0.1.1-r0.apk 27-Feb-2025 22:30 74046
py3-html5-parser-0.4.12-r1.apk 25-Oct-2024 19:10 170800
py3-html5-parser-pyc-0.4.12-r1.apk 25-Oct-2024 19:10 22808
py3-hurry.filesize-0.9-r8.apk 25-Oct-2024 19:10 4722
py3-hurry.filesize-pyc-0.9-r8.apk 25-Oct-2024 19:10 3333
py3-igraph-0.11.8-r0.apk 24-Nov-2024 07:46 409697
py3-igraph-dev-0.11.8-r0.apk 24-Nov-2024 07:46 2602
py3-igraph-pyc-0.11.8-r0.apk 24-Nov-2024 07:46 379658
py3-imageio-2.35.1-r0.apk 25-Oct-2024 19:10 294368
py3-imageio-ffmpeg-0.4.9-r1.apk 25-Oct-2024 19:10 16884
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk 25-Oct-2024 19:10 20753
py3-imageio-pyc-2.35.1-r0.apk 25-Oct-2024 19:10 516535
py3-imdbpy-2021.4.18-r5.apk 25-Oct-2024 19:10 234496
py3-imdbpy-pyc-2021.4.18-r5.apk 25-Oct-2024 19:10 248405
py3-incoming-0.3.1-r8.apk 25-Oct-2024 19:10 13137
py3-incoming-pyc-0.3.1-r8.apk 25-Oct-2024 19:10 20425
py3-infinity-1.5-r6.apk 25-Oct-2024 19:10 4488
py3-infinity-pyc-1.5-r6.apk 25-Oct-2024 19:10 3831
py3-iniparse-0.5-r7.apk 25-Oct-2024 19:10 19140
py3-iniparse-doc-0.5-r7.apk 25-Oct-2024 19:10 10589
py3-iniparse-pyc-0.5-r7.apk 25-Oct-2024 19:10 25212
py3-intervals-0.9.2-r5.apk 25-Oct-2024 19:10 9676
py3-intervals-pyc-0.9.2-r5.apk 25-Oct-2024 19:10 15531
py3-ioctl-opt-1.3-r0.apk 27-Jan-2025 21:37 11902
py3-ioctl-opt-pyc-1.3-r0.apk 27-Jan-2025 21:37 4760
py3-irc-20.4.1-r0.apk 25-Oct-2024 19:10 41879
py3-irc-pyc-20.4.1-r0.apk 25-Oct-2024 19:10 72699
py3-isbnlib-3.10.14-r0.apk 26-Jan-2025 06:27 43685
py3-isbnlib-pyc-3.10.14-r0.apk 26-Jan-2025 06:27 68285
py3-iso639-lang-2.2.3-r0.apk 25-Oct-2024 19:10 275440
py3-iso639-lang-pyc-2.2.3-r0.apk 25-Oct-2024 19:10 9931
py3-itemadapter-0.10.0-r0.apk 30-Nov-2024 20:42 11519
py3-itemadapter-pyc-0.10.0-r0.apk 30-Nov-2024 20:42 12975
py3-itemloaders-1.3.2-r0.apk 25-Oct-2024 19:10 12812
py3-itemloaders-pyc-1.3.2-r0.apk 25-Oct-2024 19:10 16961
py3-iterable-io-1.0.0-r0.apk 25-Oct-2024 19:10 6185
py3-iterable-io-pyc-1.0.0-r0.apk 25-Oct-2024 19:10 5378
py3-itunespy-1.6-r4.apk 25-Oct-2024 19:10 10260
py3-itunespy-pyc-1.6-r4.apk 25-Oct-2024 19:10 15123
py3-janus-1.2.0-r0.apk 13-Dec-2024 04:57 12655
py3-janus-pyc-1.2.0-r0.apk 13-Dec-2024 04:57 13656
py3-jaraco.logging-3.3.0-r0.apk 25-Oct-2024 19:10 6170
py3-jaraco.logging-pyc-3.3.0-r0.apk 25-Oct-2024 19:10 5986
py3-jaraco.path-3.7.2-r0.apk 25-Oct-2024 19:10 7804
py3-jaraco.path-pyc-3.7.2-r0.apk 25-Oct-2024 19:10 9752
py3-jaraco.stream-3.0.4-r0.apk 14-Dec-2024 22:49 6873
py3-jaraco.stream-pyc-3.0.4-r0.apk 14-Dec-2024 22:49 8270
py3-jaraco.vcs-2.4.0-r0.apk 25-Oct-2024 19:10 10012
py3-jaraco.vcs-pyc-2.4.0-r0.apk 25-Oct-2024 19:10 15493
py3-jaraco.versioning-1.1.0-r0.apk 25-Oct-2024 19:10 6051
py3-jaraco.versioning-pyc-1.1.0-r0.apk 25-Oct-2024 19:10 6251
py3-json5-0.9.25-r0.apk 30-Nov-2024 21:06 25581
py3-json5-pyc-0.9.25-r0.apk 30-Nov-2024 21:06 30073
py3-jsonschema417-4.17.3-r1.apk 25-Oct-2024 19:10 75076
py3-jsonschema417-pyc-4.17.3-r1.apk 25-Oct-2024 19:10 128248
py3-junit-xml-1.9-r3.apk 25-Oct-2024 19:10 8543
py3-junit-xml-pyc-1.9-r3.apk 25-Oct-2024 19:10 9540
py3-kazoo-0_git20211202-r4.apk 25-Oct-2024 19:10 128027
py3-kazoo-pyc-0_git20211202-r4.apk 25-Oct-2024 19:10 250374
py3-keepalive-0.5-r5.apk 25-Oct-2024 19:10 9185
py3-keepalive-doc-0.5-r5.apk 25-Oct-2024 19:10 2039
py3-keepalive-pyc-0.5-r5.apk 25-Oct-2024 19:10 13360
py3-kerberos-1.3.1-r5.apk 25-Oct-2024 19:10 17832
py3-landlock-1.0.0_pre4-r2.apk 25-Oct-2024 19:10 8611
py3-landlock-pyc-1.0.0_pre4-r2.apk 25-Oct-2024 19:10 9751
py3-langcodes-3.3.0-r2.apk 25-Oct-2024 19:10 177705
py3-langcodes-pyc-3.3.0-r2.apk 25-Oct-2024 19:10 112361
py3-language-data-1.3.0-r0.apk 01-Dec-2024 20:08 5191897
py3-language-data-pyc-1.3.0-r0.apk 01-Dec-2024 20:08 3105406
py3-latex2mathml-3.77.0-r1.apk 25-Oct-2024 19:10 74000
py3-latex2mathml-pyc-3.77.0-r1.apk 25-Oct-2024 19:10 35784
py3-lib_users-0.15-r4.apk 25-Oct-2024 19:10 15996
py3-lib_users-pyc-0.15-r4.apk 25-Oct-2024 19:10 9739
py3-libacl-0.7.0-r2.apk 25-Oct-2024 19:10 26497
py3-libcec-rpi-6.0.2-r4.apk 25-Oct-2024 19:10 109013
py3-libguestfs-1.52.0-r1.apk 25-Oct-2024 19:10 186361
py3-libiio-0.25-r2.apk 25-Oct-2024 19:10 12872
py3-liblarch-3.2.0-r6.apk 08-Dec-2024 21:43 30224
py3-liblarch-pyc-3.2.0-r6.apk 08-Dec-2024 21:43 50792
py3-libmdbx-0.10.2-r7.apk 25-Oct-2024 19:10 28562
py3-libmdbx-pyc-0.10.2-r7.apk 25-Oct-2024 19:10 33604
py3-libnacl-2.1.0-r1.apk 25-Oct-2024 19:10 20801
py3-libnacl-pyc-2.1.0-r1.apk 25-Oct-2024 19:10 31077
py3-librtmp-0.3.0-r6.apk 25-Oct-2024 19:10 36831
py3-librtmp-pyc-0.3.0-r6.apk 25-Oct-2024 19:10 25145
py3-limits-3.14.1-r0.apk 25-Dec-2024 18:57 34300
py3-limits-pyc-3.14.1-r0.apk 25-Dec-2024 18:57 73152
py3-linkify-it-py-2.0.3-r1.apk 25-Oct-2024 19:10 21847
py3-linkify-it-py-pyc-2.0.3-r1.apk 25-Oct-2024 19:10 23986
py3-linux-procfs-0.7.3-r0.apk 13-Jan-2025 21:19 13931
py3-linux-procfs-pyc-0.7.3-r0.apk 13-Jan-2025 21:19 22662
py3-litex-hub-modules-2024.04-r0.apk 25-Oct-2024 19:10 1660
py3-litex-hub-modules-pyc-2024.04-r0.apk 25-Oct-2024 19:10 1161221
py3-litex-hub-pythondata-cpu-blackparrot-2024.0..> 25-Oct-2024 19:10 5823451
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r..> 25-Oct-2024 19:10 2024149
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r..> 25-Oct-2024 19:10 956551
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk 25-Oct-2024 19:10 512015
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk 25-Oct-2024 19:10 10642019
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk 25-Oct-2024 19:10 1890458
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk 25-Oct-2024 19:10 114797
py3-litex-hub-pythondata-cpu-marocchino-2024.04..> 25-Oct-2024 19:10 212849
py3-litex-hub-pythondata-cpu-microwatt-2024.04-..> 25-Oct-2024 19:10 20402083
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0..> 25-Oct-2024 19:10 45901
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk 25-Oct-2024 19:10 226715
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r..> 25-Oct-2024 19:10 7735
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r..> 25-Oct-2024 19:10 235824
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk 25-Oct-2024 19:10 59549450
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk 25-Oct-2024 19:10 691414
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r..> 25-Oct-2024 19:10 729738
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024...> 25-Oct-2024 19:10 2521220
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0..> 25-Oct-2024 19:10 59338
py3-litex-hub-pythondata-software-compiler_rt-2..> 25-Oct-2024 19:10 2308333
py3-litex-hub-pythondata-software-picolibc-2024..> 25-Oct-2024 19:10 4914191
py3-litex-hub-valentyusb-2024.04-r0.apk 25-Oct-2024 19:10 114196
py3-livestream-2.1.0-r0.apk 25-Nov-2024 22:22 784629
py3-livestream-pyc-2.1.0-r0.apk 25-Nov-2024 22:22 30610
py3-log-symbols-0.0.14-r5.apk 25-Oct-2024 19:10 4306
py3-log-symbols-pyc-0.0.14-r5.apk 25-Oct-2024 19:10 3141
py3-logfury-1.0.1-r0.apk 25-Oct-2024 19:10 8045
py3-logfury-doc-1.0.1-r0.apk 25-Oct-2024 19:10 2443
py3-logfury-pyc-1.0.1-r0.apk 25-Oct-2024 19:10 7275
py3-logtop-0.7-r0.apk 25-Oct-2024 19:10 21325
py3-logtop-pyc-0.7-r0.apk 25-Oct-2024 19:10 4159
py3-lsp-black-2.0.0-r1.apk 25-Oct-2024 19:10 7684
py3-lsp-black-pyc-2.0.0-r1.apk 25-Oct-2024 19:10 6523
py3-lsp-mypy-0.7.0-r0.apk 17-Feb-2025 15:08 12943
py3-lsp-mypy-pyc-0.7.0-r0.apk 17-Feb-2025 15:08 13048
py3-lsprotocol-2023.0.1-r1.apk 25-Oct-2024 19:10 71161
py3-lsprotocol-pyc-2023.0.1-r1.apk 25-Oct-2024 19:10 109689
py3-luhn-0.2.0-r9.apk 25-Oct-2024 19:10 4069
py3-luhn-pyc-0.2.0-r9.apk 25-Oct-2024 19:10 2693
py3-lunr-0.6.2-r4.apk 25-Oct-2024 19:10 33267
py3-lunr-pyc-0.6.2-r4.apk 25-Oct-2024 19:10 51836
py3-ly-0.9.8-r1.apk 25-Oct-2024 19:10 191722
py3-ly-doc-0.9.8-r1.apk 25-Oct-2024 19:10 8288
py3-ly-pyc-0.9.8-r1.apk 25-Oct-2024 19:10 363614
py3-lzo-1.16-r1.apk 25-Oct-2024 19:10 17755
py3-lzo-pyc-1.16-r1.apk 25-Oct-2024 19:10 2018
py3-m2crypto-0.41.0-r2.apk 25-Oct-2024 19:10 195990
py3-m2crypto-pyc-0.41.0-r2.apk 25-Oct-2024 19:10 125546
py3-mando-0.7.1-r3.apk 25-Oct-2024 19:10 22671
py3-mando-doc-0.7.1-r3.apk 25-Oct-2024 19:10 4293
py3-mando-pyc-0.7.1-r3.apk 25-Oct-2024 19:10 36826
py3-manuel-1.13.0-r0.apk 30-Nov-2024 20:13 40054
py3-manuel-pyc-1.13.0-r0.apk 30-Nov-2024 20:13 26227
py3-mapbox-earcut-1.0.1-r2.apk 25-Oct-2024 19:10 61418
py3-marisa-trie-1.2.1-r0.apk 11-Nov-2024 13:12 134816
py3-markdown2-2.5.0-r0.apk 25-Oct-2024 19:10 48350
py3-markdown2-pyc-2.5.0-r0.apk 25-Oct-2024 19:10 77301
py3-marshmallow-3.26.1-r0.apk 22-Feb-2025 15:37 48688
py3-marshmallow-enum-1.5.1-r7.apk 25-Oct-2024 19:10 5421
py3-marshmallow-enum-pyc-1.5.1-r7.apk 25-Oct-2024 19:10 4560
py3-marshmallow-pyc-3.26.1-r0.apk 22-Feb-2025 15:37 86936
py3-mbedtls-2.10.1-r2.apk 25-Oct-2024 19:10 916218
py3-mbedtls-pyc-2.10.1-r2.apk 25-Oct-2024 19:10 28018
py3-migen-0.9.2-r2.apk 25-Oct-2024 19:10 146035
py3-migen-pyc-0.9.2-r2.apk 25-Oct-2024 19:10 302743
py3-milc-1.9.1-r0.apk 26-Jan-2025 06:27 26616
py3-milc-pyc-1.9.1-r0.apk 26-Jan-2025 06:27 42496
py3-minidb-2.0.8-r0.apk 13-Nov-2024 19:44 10292
py3-minidb-pyc-2.0.8-r0.apk 13-Nov-2024 19:44 23989
py3-minidump-0.0.24-r0.apk 25-Oct-2024 19:10 66904
py3-minidump-pyc-0.0.24-r0.apk 25-Oct-2024 19:10 133454
py3-minikerberos-0.4.4-r1.apk 25-Oct-2024 19:10 131737
py3-minikerberos-pyc-0.4.4-r1.apk 25-Oct-2024 19:10 269896
py3-minio-7.2.13-r0.apk 25-Dec-2024 18:57 78222
py3-minio-pyc-7.2.13-r0.apk 25-Dec-2024 18:57 164240
py3-mistletoe-1.2.1-r2.apk 25-Oct-2024 19:10 44843
py3-mistletoe-pyc-1.2.1-r2.apk 25-Oct-2024 19:10 93762
py3-mnemonic-0.21-r0.apk 25-Oct-2024 19:10 97327
py3-mnemonic-doc-0.21-r0.apk 25-Oct-2024 19:10 2454
py3-mnemonic-pyc-0.21-r0.apk 25-Oct-2024 19:10 9923
py3-modbus-tk-1.1.1-r4.apk 25-Oct-2024 19:10 25362
py3-modbus-tk-pyc-1.1.1-r4.apk 25-Oct-2024 19:10 49650
py3-mopidy-jellyfin-1.0.4-r4.apk 25-Oct-2024 19:10 25131
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk 25-Oct-2024 19:10 37523
py3-mopidy-local-3.3.0-r0.apk 01-Jan-2025 22:38 28437
py3-mopidy-local-pyc-3.3.0-r0.apk 01-Jan-2025 22:38 34912
py3-mopidy-mpd-3.3.0-r4.apk 25-Oct-2024 19:10 47118
py3-mopidy-mpd-pyc-3.3.0-r4.apk 25-Oct-2024 19:10 75589
py3-mopidy-spotify-5.0.0_alpha3-r0.apk 25-Oct-2024 19:10 24837
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk 25-Oct-2024 19:10 40042
py3-mopidy-tidal-0.3.2-r6.apk 25-Oct-2024 19:10 25344
py3-mopidy-tidal-pyc-0.3.2-r6.apk 25-Oct-2024 19:10 36489
py3-more-properties-1.1.1-r3.apk 25-Oct-2024 19:10 7613
py3-more-properties-pyc-1.1.1-r3.apk 25-Oct-2024 19:10 8420
py3-moviepy-1.0.3-r6.apk 25-Oct-2024 19:10 96762
py3-moviepy-pyc-1.0.3-r6.apk 25-Oct-2024 19:10 159263
py3-msldap-0.5.14-r0.apk 24-Feb-2025 20:52 143897
py3-msldap-pyc-0.5.14-r0.apk 24-Feb-2025 20:52 331278
py3-mss-10.0.0-r0.apk 14-Nov-2024 13:09 51807
py3-natpmp-1.3.2-r1.apk 25-Oct-2024 19:10 9639
py3-natpmp-pyc-1.3.2-r1.apk 25-Oct-2024 19:10 10096
py3-ncclient-0.6.13-r5.apk 25-Oct-2024 19:10 69854
py3-ncclient-pyc-0.6.13-r5.apk 25-Oct-2024 19:10 109080
py3-netifaces2-0.0.22-r0.apk 25-Oct-2024 19:10 188842
py3-netifaces2-pyc-0.0.22-r0.apk 25-Oct-2024 19:10 9431
py3-netmiko-4.5.0-r0.apk 13-Feb-2025 07:33 183501
py3-netmiko-pyc-4.5.0-r0.apk 13-Feb-2025 07:33 356317
py3-nikola-8.3.1-r0.apk 25-Oct-2024 19:10 1220410
py3-nikola-doc-8.3.1-r0.apk 25-Oct-2024 19:10 62122
py3-nikola-pyc-8.3.1-r0.apk 25-Oct-2024 19:10 542613
py3-nmap-0.7.1-r4.apk 25-Oct-2024 19:10 20839
py3-nmap-pyc-0.7.1-r4.apk 25-Oct-2024 19:10 26094
py3-nose-timer-1.0.1-r6.apk 25-Oct-2024 19:10 9600
py3-nose-timer-pyc-1.0.1-r6.apk 25-Oct-2024 19:10 10151
py3-notifymail-1.1-r8.apk 25-Oct-2024 19:10 7759
py3-notifymail-pyc-1.1-r8.apk 25-Oct-2024 19:10 5881
py3-nptyping-2.5.0-r3.apk 25-Oct-2024 19:10 21852
py3-nptyping-pyc-2.5.0-r3.apk 25-Oct-2024 19:10 32799
py3-ntplib-0.4.0-r5.apk 25-Oct-2024 19:10 7575
py3-ntplib-pyc-0.4.0-r5.apk 25-Oct-2024 19:10 8834
py3-numpy-stl-3.2.0-r0.apk 01-Dec-2024 02:04 21508
py3-numpy-stl-pyc-3.2.0-r0.apk 01-Dec-2024 02:04 28542
py3-nwdiag-3.0.0-r3.apk 25-Oct-2024 19:10 5155506
py3-nwdiag-pyc-3.0.0-r3.apk 25-Oct-2024 19:10 79747
py3-okonomiyaki-2.0.0-r0.apk 25-Oct-2024 19:10 8270613
py3-okonomiyaki-pyc-2.0.0-r0.apk 25-Oct-2024 19:10 248904
py3-onnxruntime-1.20.2-r0.apk 17-Feb-2025 15:08 10350220
py3-onnxruntime-pyc-1.20.2-r0.apk 17-Feb-2025 15:08 1330796
py3-openapi-codec-1.3.2-r9.apk 25-Oct-2024 19:10 7830
py3-openapi-codec-pyc-1.3.2-r9.apk 25-Oct-2024 19:10 12017
py3-opendht-3.1.11-r0.apk 27-Jan-2025 20:56 149303
py3-openssh-wrapper-0.5_git20130425-r4.apk 25-Oct-2024 19:10 8443
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk 25-Oct-2024 19:10 10675
py3-openwisp-utils-1.0.4-r4.apk 25-Oct-2024 19:10 509582
py3-openwisp-utils-pyc-1.0.4-r4.apk 25-Oct-2024 19:10 43280
py3-orderedmultidict-1.0.1-r7.apk 25-Oct-2024 19:10 12150
py3-orderedmultidict-pyc-1.0.1-r7.apk 25-Oct-2024 19:10 17616
py3-osqp-0.6.2-r6.apk 25-Oct-2024 19:10 103766
py3-osqp-dev-0.6.2-r6.apk 25-Oct-2024 19:10 50219
py3-osqp-pyc-0.6.2-r6.apk 25-Oct-2024 19:10 78349
py3-ovos-audio-plugin-simple-0.0.1-r0.apk 25-Oct-2024 19:10 10204
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk 25-Oct-2024 19:10 8419
py3-ovos-backend-client-1.0.0-r0.apk 25-Oct-2024 19:10 47018
py3-ovos-backend-client-pyc-1.0.0-r0.apk 25-Oct-2024 19:10 93238
py3-ovos-bus-client-1.0.4-r0.apk 21-Nov-2024 13:31 46522
py3-ovos-bus-client-pyc-1.0.4-r0.apk 21-Nov-2024 13:31 83404
py3-ovos-classifiers-0.0.0_alpha53-r0.apk 25-Oct-2024 19:10 105444
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk 25-Oct-2024 19:10 167448
py3-ovos-config-1.0.0-r0.apk 25-Nov-2024 12:41 44256
py3-ovos-config-pyc-1.0.0-r0.apk 25-Nov-2024 12:41 34724
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk 25-Oct-2024 19:10 366364
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk 25-Oct-2024 19:10 447178
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk 25-Oct-2024 19:10 9223
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk 25-Oct-2024 19:10 4871
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk 25-Oct-2024 19:10 563432
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk 25-Oct-2024 19:10 88105
py3-ovos-ocp-files-plugin-0.13.1-r0.apk 25-Oct-2024 19:10 48097
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk 25-Oct-2024 19:10 106055
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk 25-Oct-2024 19:10 8269
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk 25-Oct-2024 19:10 3482
py3-ovos-ocp-news-plugin-0.0.4-r0.apk 25-Oct-2024 19:10 11613
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk 25-Oct-2024 19:10 9146
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk 25-Oct-2024 19:10 8496
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk 25-Oct-2024 19:10 3814
py3-ovos-phal-plugin-connectivity-events-0.1.1-..> 21-Nov-2024 13:31 8833
py3-ovos-phal-plugin-connectivity-events-pyc-0...> 21-Nov-2024 13:31 5136
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk 25-Oct-2024 19:10 4633
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk 25-Oct-2024 19:10 4477
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk 21-Nov-2024 13:31 97390
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-..> 21-Nov-2024 13:31 11494
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk 21-Nov-2024 13:31 12205
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk 21-Nov-2024 13:31 9893
py3-ovos-phal-plugin-system-1.0.1-r0.apk 21-Nov-2024 13:31 14867
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk 21-Nov-2024 13:31 9811
py3-ovos-plugin-manager-0.6.0-r0.apk 21-Nov-2024 13:31 94022
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk 21-Nov-2024 13:31 181932
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk 25-Oct-2024 19:10 10325
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk 25-Oct-2024 19:10 6931
py3-ovos-translate-server-plugin-0.0.0-r0.apk 25-Oct-2024 19:10 8532
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk 25-Oct-2024 19:10 4206
py3-ovos-tts-plugin-piper-0.0.1-r0.apk 25-Oct-2024 19:10 12530
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk 25-Oct-2024 19:10 11676
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk 25-Oct-2024 19:10 9740
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1..> 25-Oct-2024 19:10 5576
py3-ovos-utils-0.5.4-r0.apk 25-Nov-2024 12:41 70483
py3-ovos-utils-pyc-0.5.4-r0.apk 25-Nov-2024 12:41 126876
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk 25-Oct-2024 19:10 4630
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk 25-Oct-2024 19:10 4490
py3-ovos-workshop-3.1.1-r0.apk 25-Nov-2024 12:41 89163
py3-ovos-workshop-pyc-3.1.1-r0.apk 25-Nov-2024 12:41 159477
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk 21-Nov-2024 13:31 11426
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk 21-Nov-2024 13:31 12333
py3-owslib-0.32.1-r0.apk 26-Jan-2025 06:27 198396
py3-owslib-pyc-0.32.1-r0.apk 26-Jan-2025 06:27 432948
py3-pacparser-1.4.5-r1.apk 25-Oct-2024 19:10 390573
py3-pacparser-pyc-1.4.5-r1.apk 25-Oct-2024 19:10 4136
py3-padacioso-0.2.1-r0.apk 25-Oct-2024 19:10 11718
py3-padacioso-pyc-0.2.1-r0.apk 25-Oct-2024 19:10 12567
py3-pam-2.0.2-r2.apk 25-Oct-2024 19:10 11462
py3-pam-pyc-2.0.2-r2.apk 25-Oct-2024 19:10 13279
py3-pathvalidate-3.2.3-r0.apk 06-Jan-2025 10:40 19255
py3-pathvalidate-pyc-3.2.3-r0.apk 06-Jan-2025 10:40 34129
py3-pbkdf2-1.3-r7.apk 25-Oct-2024 19:10 6445
py3-pbkdf2-pyc-1.3-r7.apk 25-Oct-2024 19:10 7249
py3-pbs-installer-2024.12.19-r0.apk 31-Dec-2024 01:10 51797
py3-pbs-installer-pyc-2024.12.19-r0.apk 31-Dec-2024 01:10 58300
py3-pdal-3.4.5-r0.apk 05-Nov-2024 21:53 166873
py3-pdal-pyc-3.4.5-r0.apk 05-Nov-2024 21:53 13347
py3-pelican-4.9.1-r2.apk 25-Oct-2024 19:10 239331
py3-pelican-pyc-4.9.1-r2.apk 25-Oct-2024 19:10 150836
py3-pep8-naming-0.14.1-r0.apk 25-Oct-2024 19:10 10003
py3-pep8-naming-pyc-0.14.1-r0.apk 25-Oct-2024 19:10 13508
py3-phpserialize-1.3-r8.apk 25-Oct-2024 19:10 9066
py3-phpserialize-pyc-1.3-r8.apk 25-Oct-2024 19:10 10955
py3-phx-class-registry-5.0.0-r0.apk 25-Oct-2024 19:10 13459
py3-phx-class-registry-doc-5.0.0-r0.apk 25-Oct-2024 19:10 2275
py3-phx-class-registry-pyc-5.0.0-r0.apk 25-Oct-2024 19:10 17827
py3-piccata-2.0.3-r1.apk 25-Oct-2024 19:10 20564
py3-piccata-pyc-2.0.3-r1.apk 25-Oct-2024 19:10 35040
py3-pickle-secure-0.99.9-r1.apk 25-Oct-2024 19:10 7682
py3-pickle-secure-pyc-0.99.9-r1.apk 25-Oct-2024 19:10 5485
py3-pigpio-79-r4.apk 25-Oct-2024 19:10 95382
py3-pika-1.3.2-r1.apk 25-Oct-2024 19:10 146537
py3-pika-pyc-1.3.2-r1.apk 25-Oct-2024 19:10 251784
py3-pillow_heif-0.18.0-r0.apk 25-Oct-2024 19:10 44365
py3-pillow_heif-pyc-0.18.0-r0.apk 25-Oct-2024 19:10 36387
py3-pip-system-certs-4.0-r1.apk 25-Oct-2024 19:10 7155
py3-pip-system-certs-pyc-4.0-r1.apk 25-Oct-2024 19:10 4769
py3-piper-phonemize-2023.11.14.4-r6.apk 17-Feb-2025 15:08 132066
py3-piper-phonemize-pyc-2023.11.14.4-r6.apk 17-Feb-2025 15:08 3366
py3-piper-tts-2023.11.14.2-r10.apk 17-Feb-2025 15:08 41772
py3-pivy-0.6.9-r2.apk 24-Nov-2024 07:46 1912096
py3-playsound-1.3.0-r1.apk 25-Oct-2024 19:10 7028
py3-playsound-pyc-1.3.0-r1.apk 25-Oct-2024 19:10 8750
py3-plexapi-4.16.1-r0.apk 12-Jan-2025 17:48 156601
py3-plexapi-doc-4.16.1-r0.apk 12-Jan-2025 17:48 86019
py3-plexapi-pyc-4.16.1-r0.apk 12-Jan-2025 17:48 313361
py3-pltable-1.1.0-r1.apk 13-Nov-2024 06:46 19023
py3-pltable-pyc-1.1.0-r1.apk 13-Nov-2024 06:46 34278
py3-pockethernet-0.7.0-r4.apk 25-Oct-2024 19:10 15137
py3-pockethernet-pyc-0.7.0-r4.apk 25-Oct-2024 19:10 25811
py3-poetry-dynamic-versioning-1.7.1-r0.apk 29-Jan-2025 06:50 20191
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk 29-Jan-2025 06:50 25839
py3-poppler-qt5-21.3.0-r2.apk 29-Jan-2025 19:49 121560
py3-ppk2-api-0.9.2-r0.apk 30-Nov-2024 21:06 16877
py3-ppk2-api-pyc-0.9.2-r0.apk 30-Nov-2024 21:06 16908
py3-pprintpp-0.4.0-r1.apk 25-Oct-2024 19:10 14239
py3-pprintpp-pyc-0.4.0-r1.apk 25-Oct-2024 19:10 16667
py3-print-color-0.4.6-r0.apk 25-Oct-2024 19:10 9072
py3-print-color-doc-0.4.6-r0.apk 25-Oct-2024 19:10 2683
py3-print-color-pyc-0.4.6-r0.apk 25-Oct-2024 19:10 5089
py3-proglog-0.1.10-r2.apk 25-Oct-2024 19:10 7555
py3-proglog-pyc-0.1.10-r2.apk 25-Oct-2024 19:10 10157
py3-protego-0.3.1-r0.apk 30-Nov-2024 20:18 9562
py3-protego-pyc-0.3.1-r0.apk 30-Nov-2024 20:18 11835
py3-proxmoxer-2.2.0-r0.apk 16-Dec-2024 11:36 17259
py3-proxmoxer-pyc-2.2.0-r0.apk 16-Dec-2024 11:36 28400
py3-pure_protobuf-3.1.2-r0.apk 25-Oct-2024 19:10 21628
py3-pure_protobuf-pyc-3.1.2-r0.apk 25-Oct-2024 19:10 38585
py3-py-radix-0.10.0-r10.apk 25-Oct-2024 19:10 20162
py3-py-radix-pyc-0.10.0-r10.apk 25-Oct-2024 19:10 10882
py3-pyatem-0.5.0-r4.apk 25-Oct-2024 19:10 54144
py3-pyatem-pyc-0.5.0-r4.apk 25-Oct-2024 19:10 93817
py3-pyautogui-0.9.53-r5.apk 25-Oct-2024 19:10 35911
py3-pyautogui-pyc-0.9.53-r5.apk 25-Oct-2024 19:10 46362
py3-pybars3-0.9.7-r6.apk 25-Oct-2024 19:10 15198
py3-pybars3-pyc-0.9.7-r6.apk 25-Oct-2024 19:10 17244
py3-pycaption-2.2.15-r0.apk 25-Oct-2024 19:10 368713
py3-pycolorterm-0.2.1-r6.apk 25-Oct-2024 19:10 5589
py3-pycolorterm-pyc-0.2.1-r6.apk 25-Oct-2024 19:10 3818
py3-pycosat-0.6.6-r2.apk 25-Oct-2024 19:10 46355
py3-pydes-2.0.1-r5.apk 25-Oct-2024 19:10 10940
py3-pydes-doc-2.0.1-r5.apk 25-Oct-2024 19:10 3731
py3-pydes-pyc-2.0.1-r5.apk 25-Oct-2024 19:10 13493
py3-pygelbooru-0.5.0-r4.apk 25-Oct-2024 19:10 8345
py3-pygelbooru-pyc-0.5.0-r4.apk 25-Oct-2024 19:10 11744
py3-pygfm-2.0.0-r2.apk 25-Oct-2024 19:10 13034
py3-pygfm-pyc-2.0.0-r2.apk 25-Oct-2024 19:10 13519
py3-pyglet-2.1.0-r0.apk 12-Jan-2025 09:00 891124
py3-pyglet-pyc-2.1.0-r0.apk 12-Jan-2025 09:00 1656957
py3-pyglm-2.7.3-r0.apk 06-Nov-2024 09:41 1277619
py3-pygpgme-0.3.1-r9.apk 25-Oct-2024 19:10 37331
py3-pygpgme-pyc-0.3.1-r9.apk 25-Oct-2024 19:10 5188
py3-pygtail-0.14.0-r3.apk 25-Oct-2024 19:10 15346
py3-pygtail-pyc-0.14.0-r3.apk 25-Oct-2024 19:10 10334
py3-pyinstaller-6.6.0-r0.apk 25-Oct-2024 19:10 1981711
py3-pyinstaller-pyc-6.6.0-r0.apk 25-Oct-2024 19:10 514305
py3-pyinstrument-5.0.1-r0.apk 26-Jan-2025 06:27 110651
py3-pyinstrument-pyc-5.0.1-r0.apk 26-Jan-2025 06:27 101904
py3-pyisbn-1.3.1-r3.apk 25-Oct-2024 19:10 20936
py3-pyisbn-pyc-1.3.1-r3.apk 25-Oct-2024 19:10 9635
py3-pylru-1.2.1-r1.apk 25-Oct-2024 19:10 16967
py3-pylru-pyc-1.2.1-r1.apk 25-Oct-2024 19:10 9159
py3-pymaging-0.0.20130908-r10.apk 25-Oct-2024 19:10 18421
py3-pymaging-png-0.0.20130727-r10.apk 25-Oct-2024 19:10 35856
py3-pymaging-png-pyc-0.0.20130727-r10.apk 25-Oct-2024 19:10 53169
py3-pymaging-pyc-0.0.20130908-r10.apk 25-Oct-2024 19:10 32435
py3-pymata-2.20-r4.apk 25-Oct-2024 19:10 23040
py3-pymata-pyc-2.20-r4.apk 25-Oct-2024 19:10 30101
py3-pymata4-1.15-r4.apk 25-Oct-2024 19:10 23600
py3-pymata4-pyc-1.15-r4.apk 25-Oct-2024 19:10 31565
py3-pymeta3-0.5.1-r6.apk 25-Oct-2024 19:10 17146
py3-pymeta3-pyc-0.5.1-r6.apk 25-Oct-2024 19:10 32305
py3-pymsgbox-1.0.9-r5.apk 25-Oct-2024 19:10 9380
py3-pymsgbox-pyc-1.0.9-r5.apk 25-Oct-2024 19:10 10081
py3-pymsteams-0.2.5-r0.apk 17-Feb-2025 15:08 12648
py3-pymsteams-pyc-0.2.5-r0.apk 17-Feb-2025 15:08 6697
py3-pynest2d-5.2.2-r5.apk 06-Feb-2025 04:44 245264
py3-pypandoc-1.15-r0.apk 12-Feb-2025 22:35 21209
py3-pypandoc-pyc-1.15-r0.apk 12-Feb-2025 22:35 23565
py3-pyparted-3.13.0-r1.apk 25-Oct-2024 19:10 79628
py3-pyparted-pyc-3.13.0-r1.apk 25-Oct-2024 19:10 43182
py3-pypubsub-4.0.3-r0.apk 25-Oct-2024 19:10 53645
py3-pypubsub-doc-4.0.3-r0.apk 25-Oct-2024 19:10 2193
py3-pypubsub-pyc-4.0.3-r0.apk 25-Oct-2024 19:10 92069
py3-pyqrcode-1.2.1-r0.apk 25-Oct-2024 19:10 37434
py3-pyqrcode-doc-1.2.1-r0.apk 25-Oct-2024 19:10 4404
py3-pyqrcode-pyc-1.2.1-r0.apk 25-Oct-2024 19:10 48406
py3-pyrebase-3.0.27-r5.apk 25-Oct-2024 19:10 9854
py3-pyrebase-pyc-3.0.27-r5.apk 25-Oct-2024 19:10 17976
py3-pyroma-4.2-r0.apk 25-Oct-2024 19:10 22395
py3-pyroma-pyc-4.2-r0.apk 25-Oct-2024 19:10 26576
py3-pyscreeze-0.1.29-r3.apk 25-Oct-2024 19:10 14447
py3-pyscreeze-pyc-0.1.29-r3.apk 25-Oct-2024 19:10 14532
py3-pysequoia-0.1.20-r3.apk 25-Oct-2024 19:10 1531081
py3-pysequoia-pyc-0.1.20-r3.apk 25-Oct-2024 19:10 1925
py3-pysimplesoap-1.16.2-r7.apk 25-Oct-2024 19:10 44714
py3-pysimplesoap-pyc-1.16.2-r7.apk 25-Oct-2024 19:10 80046
py3-pysonic-1.0.2-r0.apk 22-Feb-2025 15:37 35352
py3-pysonic-pyc-1.0.2-r0.apk 22-Feb-2025 15:37 32766
py3-pyspinel-1.0.3-r1.apk 25-Oct-2024 19:10 57358
py3-pyspinel-pyc-1.0.3-r1.apk 25-Oct-2024 19:10 64552
py3-pysrt-1.1.2-r4.apk 25-Oct-2024 19:10 26441
py3-pysrt-pyc-1.1.2-r4.apk 25-Oct-2024 19:10 23554
py3-pystache-0.6.5-r1.apk 25-Oct-2024 19:10 69741
py3-pystache-pyc-0.6.5-r1.apk 25-Oct-2024 19:10 98985
py3-pysubs2-1.8.0-r0.apk 25-Dec-2024 22:09 36790
py3-pysubs2-pyc-1.8.0-r0.apk 25-Dec-2024 22:09 69336
py3-pytaglib-3.0.0-r0.apk 26-Jan-2025 19:30 40768
py3-pytaglib-pyc-3.0.0-r0.apk 26-Jan-2025 19:30 2940
py3-pytap2-2.3.0-r0.apk 25-Oct-2024 19:10 7137
py3-pytap2-doc-2.3.0-r0.apk 25-Oct-2024 19:10 2862
py3-pytap2-pyc-2.3.0-r0.apk 25-Oct-2024 19:10 6130
py3-pyte-0.8.2-r2.apk 25-Oct-2024 19:10 31023
py3-pyte-pyc-0.8.2-r2.apk 25-Oct-2024 19:10 40411
py3-pytest-expect-1.1.0-r10.apk 25-Oct-2024 19:10 6037
py3-pytest-expect-pyc-1.1.0-r10.apk 25-Oct-2024 19:10 7123
py3-pytest-home-0.6.0-r0.apk 25-Oct-2024 19:10 4642
py3-pytest-home-pyc-0.6.0-r0.apk 25-Oct-2024 19:10 2868
py3-pytest-html-4.1.1-r1.apk 25-Oct-2024 19:10 22141
py3-pytest-html-pyc-4.1.1-r1.apk 25-Oct-2024 19:10 22276
py3-pytest-metadata-3.1.1-r0.apk 25-Oct-2024 19:10 10438
py3-pytest-metadata-pyc-3.1.1-r0.apk 25-Oct-2024 19:10 8086
py3-pytest-regtest-2.3.2-r1.apk 17-Feb-2025 15:08 16197
py3-pytest-regtest-pyc-2.3.2-r1.apk 17-Feb-2025 15:08 30769
py3-pytest-subprocess-1.5.2-r0.apk 25-Oct-2024 19:10 19977
py3-pytest-subprocess-pyc-1.5.2-r0.apk 25-Oct-2024 19:10 25102
py3-python-archive-0.2-r7.apk 25-Oct-2024 19:10 7574
py3-python-archive-pyc-0.2-r7.apk 25-Oct-2024 19:10 9575
py3-python-iptables-1.0.1-r1.apk 25-Oct-2024 19:10 40087
py3-python-iptables-pyc-1.0.1-r1.apk 25-Oct-2024 19:10 69535
py3-python-logstash-0.4.8-r4.apk 25-Oct-2024 19:10 8806
py3-python-logstash-doc-0.4.8-r4.apk 25-Oct-2024 19:10 2311
py3-python-logstash-pyc-0.4.8-r4.apk 25-Oct-2024 19:10 8618
py3-python-stdnum-1.20-r0.apk 25-Oct-2024 19:10 825052
py3-python-stdnum-pyc-1.20-r0.apk 25-Oct-2024 19:10 300541
py3-pyvcd-0.4.1-r0.apk 11-Nov-2024 21:46 23216
py3-pyvcd-pyc-0.4.1-r0.apk 11-Nov-2024 21:46 41425
py3-pyzor-1.0.0-r11.apk 25-Oct-2024 19:10 41200
py3-pyzor-pyc-1.0.0-r11.apk 25-Oct-2024 19:10 54993
py3-qasync-0.19.0-r2.apk 25-Oct-2024 19:10 37750
py3-qdldl-0.1.5-r4.apk 25-Oct-2024 19:10 92636
py3-qgis-3.34.15-r0.apk 26-Jan-2025 06:27 20197620
py3-qpageview-0.6.2-r1.apk 25-Oct-2024 19:10 100400
py3-qpageview-doc-0.6.2-r1.apk 25-Oct-2024 19:10 57643
py3-qpageview-pyc-0.6.2-r1.apk 25-Oct-2024 19:10 184549
py3-qt.py-1.3.10-r1.apk 25-Oct-2024 19:10 33476
py3-qt.py-pyc-1.3.10-r1.apk 25-Oct-2024 19:10 25280
py3-quebra-frases-0.3.7-r1.apk 25-Oct-2024 19:10 9025
py3-quebra-frases-pyc-0.3.7-r1.apk 25-Oct-2024 19:10 8005
py3-queuelib-1.7.0-r0.apk 25-Oct-2024 19:10 13079
py3-queuelib-pyc-1.7.0-r0.apk 25-Oct-2024 19:10 25386
py3-rabbit-1.1.0-r8.apk 25-Oct-2024 19:10 11202
py3-rabbit-pyc-1.1.0-r8.apk 25-Oct-2024 19:10 15860
py3-radon-6.0.1-r2.apk 25-Oct-2024 19:10 32276
py3-radon-doc-6.0.1-r2.apk 25-Oct-2024 19:10 5267
py3-radon-pyc-6.0.1-r2.apk 25-Oct-2024 19:10 50755
py3-recommonmark-0.7.1-r4.apk 25-Oct-2024 19:10 12023
py3-recommonmark-pyc-0.7.1-r4.apk 25-Oct-2024 19:10 17928
py3-recurring-ical-events-3.5.2-r0.apk 17-Feb-2025 15:08 51686
py3-recurring-ical-events-pyc-3.5.2-r0.apk 17-Feb-2025 15:08 49079
py3-redmine-2.5.0-r0.apk 25-Oct-2024 19:10 37665
py3-redmine-pyc-2.5.0-r0.apk 25-Oct-2024 19:10 54878
py3-remind-0.19.1-r0.apk 25-Oct-2024 19:10 25149
py3-remind-pyc-0.19.1-r0.apk 25-Oct-2024 19:10 23085
py3-requests-cache-1.2.1-r1.apk 20-Nov-2024 00:45 51130
py3-requests-cache-pyc-1.2.1-r1.apk 20-Nov-2024 00:45 96470
py3-requests-kerberos-0.15.0-r0.apk 01-Dec-2024 17:24 12390
py3-requests-kerberos-pyc-0.15.0-r0.apk 01-Dec-2024 17:24 11191
py3-requests-wsgi-adapter-0.4.1-r1.apk 25-Oct-2024 19:10 5644
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk 25-Oct-2024 19:10 6758
py3-rfc-bibtex-0.3.2-r7.apk 25-Oct-2024 19:10 13177
py3-rfc-bibtex-pyc-0.3.2-r7.apk 25-Oct-2024 19:10 12744
py3-rfc3987-1.3.8-r6.apk 25-Oct-2024 19:10 21436
py3-rfc3987-pyc-1.3.8-r6.apk 25-Oct-2024 19:10 10853
py3-rich-click-1.7.3-r1.apk 25-Oct-2024 19:10 31473
py3-rich-click-pyc-1.7.3-r1.apk 25-Oct-2024 19:10 41301
py3-riotctrl-0.5.0-r4.apk 25-Oct-2024 19:10 13351
py3-riotctrl-pyc-0.5.0-r4.apk 25-Oct-2024 19:10 10968
py3-rosdistro-0.9.0-r3.apk 25-Oct-2024 19:10 48195
py3-rosdistro-pyc-0.9.0-r3.apk 25-Oct-2024 19:10 93451
py3-rospkg-1.2.9-r5.apk 25-Oct-2024 19:10 29491
py3-rospkg-pyc-1.2.9-r5.apk 25-Oct-2024 19:10 55426
py3-rpio-0.10.1-r8.apk 25-Oct-2024 19:10 40223
py3-rpio-pyc-0.10.1-r8.apk 25-Oct-2024 19:10 16332
py3-rst-0.1-r9.apk 25-Oct-2024 19:10 5697
py3-rst-pyc-0.1-r9.apk 25-Oct-2024 19:10 6257
py3-rst.linker-2.6.0-r0.apk 25-Oct-2024 19:10 6240
py3-rst.linker-pyc-2.6.0-r0.apk 25-Oct-2024 19:10 6807
py3-rst2ansi-0.1.5-r0.apk 25-Oct-2024 19:10 12454
py3-rst2ansi-doc-0.1.5-r0.apk 25-Oct-2024 19:10 2288
py3-rst2ansi-pyc-0.1.5-r0.apk 25-Oct-2024 19:10 24546
py3-rtree-1.3.0-r0.apk 04-Jan-2025 05:20 25260
py3-rtree-pyc-1.3.0-r0.apk 04-Jan-2025 05:20 45446
py3-schema-0.7.5-r4.apk 25-Oct-2024 19:10 18914
py3-schema-pyc-0.7.5-r4.apk 25-Oct-2024 19:10 18754
py3-scour-0.38.2-r1.apk 25-Oct-2024 19:10 57744
py3-scour-pyc-0.38.2-r1.apk 25-Oct-2024 19:10 75541
py3-scrapy-2.11.1-r1.apk 25-Oct-2024 19:10 245740
py3-scrapy-pyc-2.11.1-r1.apk 25-Oct-2024 19:10 493584
py3-scs-3.2.3-r4.apk 25-Oct-2024 19:10 99957
py3-scs-pyc-3.2.3-r4.apk 25-Oct-2024 19:10 5042
py3-seqdiag-3.0.0-r5.apk 25-Oct-2024 19:10 2581805
py3-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:10 43248
py3-setuptools-lint-0.6.0-r9.apk 25-Oct-2024 19:10 5555
py3-setuptools-lint-pyc-0.6.0-r9.apk 25-Oct-2024 19:10 6152
py3-sh-2.1.0-r0.apk 01-Nov-2024 15:13 38667
py3-sh-pyc-2.1.0-r0.apk 01-Nov-2024 15:13 56172
py3-shodan-1.31.0-r1.apk 25-Oct-2024 19:10 45153
py3-shodan-doc-1.31.0-r1.apk 25-Oct-2024 19:10 7370
py3-shodan-pyc-1.31.0-r1.apk 25-Oct-2024 19:10 81050
py3-simber-0.2.6-r4.apk 25-Oct-2024 19:10 12406
py3-simber-pyc-0.2.6-r4.apk 25-Oct-2024 19:10 16785
py3-simplematch-1.4-r1.apk 25-Oct-2024 19:10 8190
py3-simplematch-pyc-1.4-r1.apk 25-Oct-2024 19:10 5939
py3-simplesat-0.8.2-r0.apk 25-Oct-2024 19:10 219164
py3-simplesat-pyc-0.8.2-r0.apk 25-Oct-2024 19:10 160327
py3-simplesoapy-1.5.1-r7.apk 25-Oct-2024 19:10 8053
py3-simplesoapy-pyc-1.5.1-r7.apk 25-Oct-2024 19:10 12056
py3-simplespectral-1.0.0-r5.apk 25-Oct-2024 19:10 7683
py3-simplespectral-pyc-1.0.0-r5.apk 25-Oct-2024 19:10 8272
py3-slidge-style-parser-0.1.8-r0.apk 25-Oct-2024 19:10 192490
py3-slidge-style-parser-pyc-0.1.8-r0.apk 25-Oct-2024 19:10 1991
py3-slixmpp-1.8.5-r2.apk 25-Oct-2024 19:10 392104
py3-slixmpp-doc-1.8.5-r2.apk 25-Oct-2024 19:10 5945
py3-slixmpp-pyc-1.8.5-r2.apk 25-Oct-2024 19:10 746994
py3-snapshottest-0.6.0-r5.apk 25-Oct-2024 19:10 15478
py3-snapshottest-pyc-0.6.0-r5.apk 25-Oct-2024 19:10 26348
py3-soappy-0.52.30-r0.apk 04-Dec-2024 11:57 48394
py3-soappy-pyc-0.52.30-r0.apk 04-Dec-2024 11:57 97568
py3-soapy_power-1.6.1-r5.apk 25-Oct-2024 19:10 17913
py3-soapy_power-pyc-1.6.1-r5.apk 25-Oct-2024 19:10 27161
py3-sortedcollections-2.1.0-r5.apk 25-Oct-2024 19:10 10917
py3-sortedcollections-pyc-2.1.0-r5.apk 25-Oct-2024 19:10 14200
py3-spake2-0.9-r0.apk 25-Oct-2024 19:10 30863
py3-spake2-pyc-0.9-r0.apk 25-Oct-2024 19:10 44555
py3-sphinx-argparse-0.5.2-r0.apk 25-Oct-2024 19:10 14523
py3-sphinx-argparse-pyc-0.5.2-r0.apk 25-Oct-2024 19:10 22652
py3-sphinx-autoapi-3.6.0-r0.apk 22-Feb-2025 15:37 31983
py3-sphinx-autoapi-pyc-3.6.0-r0.apk 22-Feb-2025 15:37 60260
py3-sphinx-theme-better-0.1.5-r7.apk 25-Oct-2024 19:10 10777
py3-sphinx-theme-better-pyc-0.1.5-r7.apk 25-Oct-2024 19:10 2031
py3-sphinx-theme-bootstrap-0.8.1-r4.apk 25-Oct-2024 19:10 1212511
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk 25-Oct-2024 19:10 2400
py3-sphinx-theme-bw-0.1.8-r7.apk 25-Oct-2024 19:10 66317
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk 25-Oct-2024 19:10 1879
py3-sphinx-theme-cloud-1.10.0-r2.apk 25-Oct-2024 19:10 83259
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk 25-Oct-2024 19:10 43801
py3-sphinx-theme-epfl-1.1.1-r9.apk 25-Oct-2024 19:10 30570
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk 25-Oct-2024 19:10 2532
py3-sphinx-theme-guzzle-0.7.11-r7.apk 25-Oct-2024 19:10 2564871
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk 25-Oct-2024 19:10 5642
py3-sphinx-theme-readable-1.3.0-r9.apk 25-Oct-2024 19:10 9032
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk 25-Oct-2024 19:10 2260
py3-sphinxcontrib-actdiag-3.0.0-r4.apk 25-Oct-2024 19:10 7814
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk 25-Oct-2024 19:10 9501
py3-sphinxcontrib-adadomain-0.2-r9.apk 25-Oct-2024 19:10 9222
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk 25-Oct-2024 19:10 12265
py3-sphinxcontrib-bitbucket-1.0-r8.apk 25-Oct-2024 19:10 5812
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk 25-Oct-2024 19:10 4184
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk 25-Oct-2024 19:10 7724
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk 25-Oct-2024 19:10 9371
py3-sphinxcontrib-cacoo-2.0.0-r7.apk 25-Oct-2024 19:10 5867
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk 25-Oct-2024 19:10 4351
py3-sphinxcontrib-cartouche-1.1.2-r7.apk 25-Oct-2024 19:10 18354
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk 25-Oct-2024 19:10 34305
py3-sphinxcontrib-doxylink-1.12.3-r0.apk 06-Dec-2024 22:59 12526
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk 06-Dec-2024 22:59 16775
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk 25-Oct-2024 19:10 9337
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk 25-Oct-2024 19:10 3566
py3-sphinxcontrib-gist-0.1.0-r9.apk 25-Oct-2024 19:10 4009
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk 25-Oct-2024 19:10 3414
py3-sphinxcontrib-git-11.0.0-r7.apk 25-Oct-2024 19:10 17543
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk 25-Oct-2024 19:10 6782
py3-sphinxcontrib-gravatar-0.1.2-r8.apk 25-Oct-2024 19:10 8054
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk 25-Oct-2024 19:10 7806
py3-sphinxcontrib-htsql-0.1.5-r8.apk 25-Oct-2024 19:10 10805
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk 25-Oct-2024 19:10 15395
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk 25-Oct-2024 19:10 18532
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk 25-Oct-2024 19:10 4441
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk 25-Oct-2024 19:10 34701
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1..> 25-Oct-2024 19:10 21882
py3-sphinxcontrib-hydomain-pyc-0.1.0_git2023093..> 25-Oct-2024 19:10 44888
py3-sphinxcontrib-inheritance-0.9.0-r9.apk 25-Oct-2024 19:10 10787
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk 25-Oct-2024 19:10 15441
py3-sphinxcontrib-issuetracker-0.11-r7.apk 25-Oct-2024 19:10 11366
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk 25-Oct-2024 19:10 12237
py3-sphinxcontrib-lassodomain-0.4-r8.apk 25-Oct-2024 19:10 8114
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk 25-Oct-2024 19:10 10851
py3-sphinxcontrib-manpage-0.6-r8.apk 25-Oct-2024 19:10 4236
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk 25-Oct-2024 19:10 3223
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk 25-Oct-2024 19:10 8925
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk 25-Oct-2024 19:10 11460
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk 25-Oct-2024 19:10 11750
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk 25-Oct-2024 19:10 17374
py3-sphinxcontrib-programoutput-0.17-r5.apk 25-Oct-2024 19:10 16868
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk 25-Oct-2024 19:10 24422
py3-sphinxcontrib-restbuilder-0.3-r6.apk 25-Oct-2024 19:10 11596
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk 25-Oct-2024 19:10 20994
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk 25-Oct-2024 19:10 7832
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk 25-Oct-2024 19:10 9521
py3-sphinxcontrib-slide-1.0.0-r3.apk 25-Oct-2024 19:10 5117
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk 25-Oct-2024 19:10 5680
py3-sphinxcontrib-spelling-8.0.0-r3.apk 25-Oct-2024 19:10 15716
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk 25-Oct-2024 19:10 19361
py3-sphinxcontrib-sqltable-2.0.0-r8.apk 25-Oct-2024 19:10 7521
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk 25-Oct-2024 19:10 5048
py3-sphinxcontrib-textstyle-0.2.3-r8.apk 25-Oct-2024 19:10 6253
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk 25-Oct-2024 19:10 5789
py3-spidev-3.6-r1.apk 25-Oct-2024 19:10 14449
py3-spin-0.8-r0.apk 25-Oct-2024 19:10 18963
py3-spin-pyc-0.8-r0.apk 25-Oct-2024 19:10 25074
py3-spinners-0.0.24-r5.apk 25-Oct-2024 19:10 6235
py3-spinners-pyc-0.0.24-r5.apk 25-Oct-2024 19:10 6401
py3-spnego-0.11.2-r0.apk 16-Jan-2025 07:52 120737
py3-spnego-pyc-0.11.2-r0.apk 16-Jan-2025 07:52 224332
py3-spotipy-2.24.0-r1.apk 25-Oct-2024 19:10 30671
py3-spotipy-pyc-2.24.0-r1.apk 25-Oct-2024 19:10 50830
py3-sqlmodel-0.0.22-r1.apk 06-Dec-2024 23:03 26954
py3-sqlmodel-pyc-0.0.22-r1.apk 06-Dec-2024 23:03 42172
py3-sstash-0.17-r9.apk 25-Oct-2024 19:10 7910
py3-sstash-pyc-0.17-r9.apk 25-Oct-2024 19:10 10534
py3-stringcase-1.2.0-r8.apk 25-Oct-2024 19:10 4904
py3-stringcase-pyc-1.2.0-r8.apk 25-Oct-2024 19:10 3986
py3-svgpath-6.3-r3.apk 25-Oct-2024 19:10 17485
py3-svgpath-pyc-6.3-r3.apk 25-Oct-2024 19:10 22166
py3-swagger-ui-bundle-1.1.0-r1.apk 25-Oct-2024 19:10 2602516
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk 25-Oct-2024 19:10 2157
py3-synapse-auto-accept-invite-1.2.0-r0.apk 25-Oct-2024 19:10 10077
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk 25-Oct-2024 19:10 5732
py3-tailer-0.4.1-r7.apk 25-Oct-2024 19:10 7033
py3-tailer-pyc-0.4.1-r7.apk 25-Oct-2024 19:10 6793
py3-tasklib-2.5.1-r2.apk 25-Oct-2024 19:10 23654
py3-tasklib-pyc-2.5.1-r2.apk 25-Oct-2024 19:10 52764
py3-telegram-0.18.0-r3.apk 25-Oct-2024 19:10 13919
py3-telegram-bot-21.10-r0.apk 17-Feb-2025 15:08 465163
py3-telegram-bot-pyc-21.10-r0.apk 17-Feb-2025 15:08 741054
py3-telegram-pyc-0.18.0-r3.apk 25-Oct-2024 19:10 21404
py3-telegram-text-0.2.0-r1.apk 25-Oct-2024 19:10 9414
py3-telegram-text-pyc-0.2.0-r1.apk 25-Oct-2024 19:10 13264
py3-telemetrix-1.20-r3.apk 25-Oct-2024 19:10 21439
py3-telemetrix-pyc-1.20-r3.apk 25-Oct-2024 19:10 31787
py3-teletype-1.3.4-r3.apk 25-Oct-2024 19:10 15777
py3-teletype-pyc-1.3.4-r3.apk 25-Oct-2024 19:10 21018
py3-testresources-2.0.1-r6.apk 25-Oct-2024 19:10 17766
py3-testresources-pyc-2.0.1-r6.apk 25-Oct-2024 19:10 16333
py3-textual-0.87.1-r0.apk 07-Dec-2024 20:23 580997
py3-textual-pyc-0.87.1-r0.apk 07-Dec-2024 20:23 1154108
py3-tg-0.19.0-r5.apk 25-Oct-2024 19:10 75122
py3-tg-pyc-0.19.0-r5.apk 25-Oct-2024 19:10 82567
py3-thefuzz-0.22.1-r1.apk 25-Oct-2024 19:10 10252
py3-thefuzz-pyc-0.22.1-r1.apk 25-Oct-2024 19:10 9164
py3-ticket-auth-0.1.4-r9.apk 25-Oct-2024 19:10 6096
py3-ticket-auth-pyc-0.1.4-r9.apk 25-Oct-2024 19:10 6529
py3-tidalapi-0.7.4-r1.apk 25-Oct-2024 19:10 36425
py3-tidalapi-pyc-0.7.4-r1.apk 25-Oct-2024 19:10 63710
py3-timeago-1.0.16-r0.apk 25-Oct-2024 19:10 24165
py3-timeago-doc-1.0.16-r0.apk 25-Oct-2024 19:10 2920
py3-timeago-pyc-1.0.16-r0.apk 25-Oct-2024 19:10 28321
py3-tls_parser-2.0.1-r1.apk 25-Oct-2024 19:10 9404
py3-tls_parser-pyc-2.0.1-r1.apk 25-Oct-2024 19:10 17839
py3-tlslite-ng-0.7.6-r8.apk 25-Oct-2024 19:10 182788
py3-tlslite-ng-pyc-0.7.6-r8.apk 25-Oct-2024 19:10 281364
py3-tokenizers-0.21.0-r0.apk 27-Nov-2024 19:54 1534340
py3-tokenizers-pyc-0.21.0-r0.apk 27-Nov-2024 19:54 29496
py3-tpm2-pytss-2.3.0-r1.apk 25-Oct-2024 19:10 270405
py3-tpm2-pytss-pyc-2.3.0-r1.apk 25-Oct-2024 19:10 241768
py3-transitions-0.9.2-r0.apk 25-Oct-2024 19:10 100078
py3-transitions-pyc-0.9.2-r0.apk 25-Oct-2024 19:10 131886
py3-translationstring-1.4-r4.apk 25-Oct-2024 19:10 9372
py3-translationstring-pyc-1.4-r4.apk 25-Oct-2024 19:10 8977
py3-trimesh-3.22.1-r2.apk 25-Oct-2024 19:10 644599
py3-trimesh-pyc-3.22.1-r2.apk 25-Oct-2024 19:10 748844
py3-trivup-0.12.2-r2.apk 25-Oct-2024 19:10 34838
py3-trivup-pyc-0.12.2-r2.apk 25-Oct-2024 19:10 56228
py3-truststore-0.10.1-r0.apk 12-Feb-2025 17:34 17911
py3-truststore-pyc-0.10.1-r0.apk 12-Feb-2025 17:34 26116
py3-twiggy-0.5.1-r4.apk 25-Oct-2024 19:10 24708
py3-twiggy-pyc-0.5.1-r4.apk 25-Oct-2024 19:10 39608
py3-typing_inspect-0.9.0-r2.apk 25-Oct-2024 19:10 10150
py3-typing_inspect-pyc-0.9.0-r2.apk 25-Oct-2024 19:10 14569
py3-u-msgpack-2.8.0-r2.apk 25-Oct-2024 19:10 11170
py3-u-msgpack-pyc-2.8.0-r2.apk 25-Oct-2024 19:10 16620
py3-uacme-desec-1.2.1-r0.apk 25-Oct-2024 19:10 5838
py3-uacme-desec-doc-1.2.1-r0.apk 25-Oct-2024 19:10 2248
py3-uacme-desec-pyc-1.2.1-r0.apk 25-Oct-2024 19:10 6934
py3-uc-micro-py-1.0.2-r1.apk 25-Oct-2024 19:10 9280
py3-unearth-0.17.2-r0.apk 25-Oct-2024 19:10 42246
py3-unearth-pyc-0.17.2-r0.apk 25-Oct-2024 19:10 84090
py3-unicorn-2.0.1-r4.apk 25-Oct-2024 19:10 34467
py3-unicorn-hat-2.1.2-r6.apk 06-Nov-2024 09:41 16006
py3-unicorn-pyc-2.0.1-r4.apk 25-Oct-2024 19:10 58173
py3-unicrypto-0.0.10-r2.apk 25-Oct-2024 19:10 62375
py3-unicrypto-pyc-0.0.10-r2.apk 25-Oct-2024 19:10 96338
py3-unidns-0.0.1-r2.apk 25-Oct-2024 19:10 13879
py3-unidns-examples-0.0.1-r2.apk 25-Oct-2024 19:10 2761
py3-unidns-pyc-0.0.1-r2.apk 25-Oct-2024 19:10 22127
py3-unoconv-0.9.0-r2.apk 25-Oct-2024 19:10 26632
py3-uptime-3.0.1-r9.apk 25-Oct-2024 19:10 10234
py3-uptime-pyc-3.0.1-r9.apk 25-Oct-2024 19:10 8908
py3-urlobject-2.4.3-r9.apk 25-Oct-2024 19:10 15111
py3-urlobject-pyc-2.4.3-r9.apk 25-Oct-2024 19:10 25270
py3-us-3.2.0-r0.apk 25-Oct-2024 19:10 14658
py3-us-pyc-3.2.0-r0.apk 25-Oct-2024 19:10 15557
py3-utc-0.0.3-r9.apk 25-Oct-2024 19:10 3556
py3-utc-pyc-0.0.3-r9.apk 25-Oct-2024 19:10 2827
py3-vatnumber-1.2-r9.apk 25-Oct-2024 19:10 19072
py3-vatnumber-pyc-1.2-r9.apk 25-Oct-2024 19:10 8836
py3-vdf-3.4-r1.apk 25-Oct-2024 19:10 11594
py3-vdf-pyc-3.4-r1.apk 25-Oct-2024 19:10 16954
py3-venusian-3.1.1-r0.apk 07-Dec-2024 21:14 14700
py3-venusian-pyc-3.1.1-r0.apk 07-Dec-2024 21:14 12798
py3-virtualenvwrapper-6.1.0-r1.apk 25-Oct-2024 19:10 22405
py3-virtualenvwrapper-pyc-6.1.0-r1.apk 25-Oct-2024 19:10 11996
py3-visitor-0.1.3-r7.apk 25-Oct-2024 19:10 4732
py3-visitor-pyc-0.1.3-r7.apk 25-Oct-2024 19:10 2687
py3-ward-0.67.0_beta0-r2.apk 25-Oct-2024 19:10 41687
py3-ward-pyc-0.67.0_beta0-r2.apk 25-Oct-2024 19:10 81463
py3-wbdata-1.0.0-r1.apk 25-Oct-2024 19:10 18937
py3-wbdata-pyc-1.0.0-r1.apk 25-Oct-2024 19:10 20046
py3-webrtcvad-2.0.10-r1.apk 25-Oct-2024 19:10 24968
py3-webrtcvad-pyc-2.0.10-r1.apk 25-Oct-2024 19:10 2858
py3-wg-netns-2.3.1-r1.apk 25-Oct-2024 19:10 7747
py3-wg-netns-pyc-2.3.1-r1.apk 25-Oct-2024 19:10 13709
py3-wgconfig-1.1.0-r0.apk 29-Jan-2025 06:50 22494
py3-wgconfig-pyc-1.1.0-r0.apk 29-Jan-2025 06:50 11977
py3-wifi-0.3.8-r7.apk 25-Oct-2024 19:10 13321
py3-wifi-pyc-0.3.8-r7.apk 25-Oct-2024 19:10 14069
py3-winacl-0.1.9-r0.apk 25-Oct-2024 19:10 85763
py3-winacl-pyc-0.1.9-r0.apk 25-Oct-2024 19:10 135197
py3-wsgiprox-1.5.2-r1.apk 25-Oct-2024 19:10 17079
py3-wsgiprox-pyc-1.5.2-r1.apk 25-Oct-2024 19:10 28842
py3-wstools-0.4.10-r7.apk 25-Oct-2024 19:10 54482
py3-wstools-pyc-0.4.10-r7.apk 25-Oct-2024 19:10 113411
py3-wtf-peewee-3.0.6-r0.apk 25-Oct-2024 19:10 13016
py3-wtf-peewee-pyc-3.0.6-r0.apk 25-Oct-2024 19:10 25249
py3-x-wr-timezone-2.0.1-r0.apk 09-Feb-2025 15:13 11933
py3-x-wr-timezone-pyc-2.0.1-r0.apk 09-Feb-2025 15:13 7267
py3-xapp-2.4.2-r0.apk 12-Nov-2024 11:04 34415
py3-xdoctest-1.2.0-r0.apk 21-Nov-2024 13:31 319995
py3-xlwt-1.3.0-r9.apk 25-Oct-2024 19:10 96874
py3-xlwt-pyc-1.3.0-r9.apk 25-Oct-2024 19:10 169513
py3-xsdata-24.12-r0.apk 23-Dec-2024 21:55 193157
py3-xsdata-pyc-24.12-r0.apk 23-Dec-2024 21:55 398651
py3-yapsy-1.12.2-r7.apk 25-Oct-2024 19:10 33017
py3-yapsy-pyc-1.12.2-r7.apk 25-Oct-2024 19:10 48270
py3-yara-4.5.1-r0.apk 25-Oct-2024 19:10 19308
py3-yosys-0.42-r0.apk 25-Oct-2024 19:10 1851
py3-youtube-search-1.6.6-r4.apk 25-Oct-2024 19:10 81004
py3-youtube-search-pyc-1.6.6-r4.apk 25-Oct-2024 19:10 98490
py3-zimscraperlib-3.4.0-r0.apk 06-Nov-2024 09:41 52854
py3-zimscraperlib-pyc-3.4.0-r0.apk 06-Nov-2024 09:41 70013
py3-zipfile2-0.0.12-r0.apk 25-Oct-2024 19:10 46236
py3-zipfile2-pyc-0.0.12-r0.apk 25-Oct-2024 19:10 29622
py3-zope-configuration-5.0.1-r2.apk 25-Oct-2024 19:10 40076
py3-zope-configuration-pyc-5.0.1-r2.apk 25-Oct-2024 19:10 49691
py3-zope-i18nmessageid-6.1.0-r2.apk 25-Oct-2024 19:10 16939
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk 25-Oct-2024 19:10 8177
py3-zope-schema-7.0.1-r3.apk 25-Oct-2024 19:10 46345
py3-zope-schema-pyc-7.0.1-r3.apk 25-Oct-2024 19:10 62534
pyinfra-3.2-r0.apk 26-Jan-2025 06:27 190424
pyinfra-pyc-3.2-r0.apk 26-Jan-2025 06:27 357059
pympress-1.8.5-r1.apk 25-Oct-2024 19:10 185518
pympress-doc-1.8.5-r1.apk 25-Oct-2024 19:10 356788
pympress-lang-1.8.5-r1.apk 25-Oct-2024 19:10 57650
pympress-pyc-1.8.5-r1.apk 25-Oct-2024 19:10 186564
pyonji-0.1.0-r2.apk 12-Feb-2025 17:34 2731066
pypy-7.3.12-r0.apk 25-Oct-2024 19:10 18771784
pypy-bootstrap-7.3.12-r0.apk 25-Oct-2024 19:10 19581983
pypy-dev-7.3.12-r0.apk 25-Oct-2024 19:10 79978
pypy-tkinter-7.3.12-r0.apk 25-Oct-2024 19:10 464257
pypy3-7.3.12-r0.apk 25-Oct-2024 19:10 18289837
pypy3-dev-7.3.12-r0.apk 25-Oct-2024 19:10 569626
pypy3-pyc-7.3.12-r0.apk 25-Oct-2024 19:10 6137364
pypy3-tests-7.3.12-r0.apk 25-Oct-2024 19:10 13530351
pypy3-tkinter-7.3.12-r0.apk 25-Oct-2024 19:10 309170
pypykatz-0.6.10-r0.apk 25-Oct-2024 19:10 325459
pypykatz-pyc-0.6.10-r0.apk 25-Oct-2024 19:10 724676
pyradio-0.9.3.11-r0.apk 25-Oct-2024 19:10 891699
pyradio-doc-0.9.3.11-r0.apk 25-Oct-2024 19:10 115358
pyradio-pyc-0.9.3.11-r0.apk 25-Oct-2024 19:10 829773
qbittorrent-cli-2.1.0-r2.apk 12-Feb-2025 17:34 5297377
qdjango-0.6.2-r1.apk 25-Oct-2024 19:10 102717
qdjango-dev-0.6.2-r1.apk 25-Oct-2024 19:10 14392
qflipper-1.3.3-r1.apk 25-Oct-2024 19:10 473136
qflipper-gui-1.3.3-r1.apk 25-Oct-2024 19:10 1102385
qgis-3.34.15-r0.apk 26-Jan-2025 06:27 46473322
qgis-dev-3.34.15-r0.apk 26-Jan-2025 06:27 3457863
qgis-doc-3.34.15-r0.apk 26-Jan-2025 06:27 3062
qgis-grass-3.34.15-r0.apk 26-Jan-2025 06:27 1406003
qgis-lang-3.34.15-r0.apk 26-Jan-2025 06:27 32956385
qgis-server-3.34.15-r0.apk 26-Jan-2025 06:27 1695046
qmk-cli-1.1.6-r0.apk 31-Oct-2024 23:17 14426
qmk-cli-pyc-1.1.6-r0.apk 31-Oct-2024 23:17 20795
qml-box2d-0_git20180406-r0.apk 25-Oct-2024 19:10 141064
qoi-0.0.0_git20230312-r0.apk 25-Oct-2024 19:10 1502
qoi-dev-0.0.0_git20230312-r0.apk 25-Oct-2024 19:10 6996
qoiconv-0.0.0_git20230312-r0.apk 25-Oct-2024 19:10 30492
qownnotes-23.6.6-r0.apk 25-Oct-2024 19:10 2436114
qownnotes-lang-23.6.6-r0.apk 25-Oct-2024 19:10 4619636
qpdfview-0.5-r2.apk 29-Jan-2025 19:49 1036947
qpdfview-doc-0.5-r2.apk 29-Jan-2025 19:49 4349
qperf-0.4.11-r1.apk 25-Oct-2024 19:10 36886
qperf-doc-0.4.11-r1.apk 25-Oct-2024 19:10 5667
qqc2-suru-style-0.20230206-r1.apk 25-Oct-2024 19:10 178235
qspectrumanalyzer-2.2.0-r5.apk 25-Oct-2024 19:10 55131
qspectrumanalyzer-pyc-2.2.0-r5.apk 25-Oct-2024 19:10 63785
qsstv-9.5.8-r2.apk 25-Oct-2024 19:10 970088
qstardict-2.0.2-r1.apk 24-Nov-2024 07:46 461869
qstardict-doc-2.0.2-r1.apk 24-Nov-2024 07:46 10895
qsynth-1.0.2-r0.apk 25-Oct-2024 19:10 434030
qsynth-doc-1.0.2-r0.apk 25-Oct-2024 19:10 4470
qt-creator-15.0.1-r0.apk 12-Feb-2025 17:34 45001381
qt-jdenticon-0.3.1-r0.apk 25-Oct-2024 19:10 27924
qt-jdenticon-doc-0.3.1-r0.apk 25-Oct-2024 19:10 2250
qt-wayland-shell-helpers-0.1.1-r3.apk 25-Oct-2024 19:10 14763
qt-wayland-shell-helpers-dev-0.1.1-r3.apk 25-Oct-2024 19:10 4033
qt5ct-1.8-r0.apk 25-Oct-2024 19:10 234948
qt5ct-dev-1.8-r0.apk 25-Oct-2024 19:10 1523
qt6ct-0.9-r3.apk 09-Dec-2024 19:40 199464
qtile-0.30.0-r0.apk 12-Feb-2025 17:34 446272
qtile-pyc-0.30.0-r0.apk 12-Feb-2025 17:34 857512
qtmir-0.7.2-r3.apk 17-Feb-2025 15:08 534496
qtmir-dev-0.7.2-r3.apk 17-Feb-2025 15:08 6670
qtox-1.17.6-r6.apk 25-Oct-2024 19:10 5308443
qtpass-1.4.0-r0.apk 25-Oct-2024 19:10 430750
qtpass-doc-1.4.0-r0.apk 25-Oct-2024 19:10 2189
quakespasm-0.96.3-r0.apk 25-Oct-2024 19:10 496810
qucs-s-1.1.0-r1.apk 25-Oct-2024 19:10 3451159
qucs-s-doc-1.1.0-r1.apk 25-Oct-2024 19:10 2417
qucs-s-lang-1.1.0-r1.apk 25-Oct-2024 19:10 885306
queercat-1.0.0-r0.apk 25-Oct-2024 19:10 8078
quodlibet-4.6.0-r1.apk 25-Oct-2024 19:10 1101300
quodlibet-bash-completion-4.6.0-r1.apk 25-Oct-2024 19:10 4798
quodlibet-doc-4.6.0-r1.apk 25-Oct-2024 19:10 8921
quodlibet-lang-4.6.0-r1.apk 25-Oct-2024 19:10 1231558
quodlibet-pyc-4.6.0-r1.apk 25-Oct-2024 19:10 1906993
quodlibet-zsh-completion-4.6.0-r1.apk 25-Oct-2024 19:10 2796
r2ghidra-5.9.4-r2.apk 20-Nov-2024 00:45 15195802
radarr-5.18.4.9674-r0.apk 03-Feb-2025 21:39 28279863
radarr-openrc-5.18.4.9674-r0.apk 03-Feb-2025 21:39 2031
randrctl-1.10.0-r0.apk 20-Nov-2024 00:45 28597
randrctl-pyc-1.10.0-r0.apk 20-Nov-2024 00:45 31934
rankwidth-0.9-r3.apk 25-Oct-2024 19:10 5795
rankwidth-dev-0.9-r3.apk 25-Oct-2024 19:10 3009
rankwidth-doc-0.9-r3.apk 25-Oct-2024 19:10 3101
rankwidth-libs-0.9-r3.apk 25-Oct-2024 19:10 5302
rankwidth-static-0.9-r3.apk 25-Oct-2024 19:10 4392
raspberrypi-usbboot-20210701-r3.apk 25-Oct-2024 19:10 1524434
rathole-0.5.0-r0.apk 25-Oct-2024 19:10 1398621
rattler-build-0.18.0-r0.apk 25-Oct-2024 19:10 5777620
rattler-build-bash-completion-0.18.0-r0.apk 25-Oct-2024 19:10 3705
rattler-build-doc-0.18.0-r0.apk 25-Oct-2024 19:10 6885
rattler-build-fish-completion-0.18.0-r0.apk 25-Oct-2024 19:10 4829
rattler-build-zsh-completion-0.18.0-r0.apk 25-Oct-2024 19:10 5570
rauc-1.10.1-r0.apk 25-Oct-2024 19:10 145660
rauc-doc-1.10.1-r0.apk 25-Oct-2024 19:10 4267
rauc-service-1.10.1-r0.apk 25-Oct-2024 19:10 3833
razercfg-0.42-r7.apk 25-Oct-2024 19:10 79070
razercfg-gui-0.42-r7.apk 25-Oct-2024 19:10 19429
razercfg-openrc-0.42-r7.apk 25-Oct-2024 19:10 1765
razercfg-pyc-0.42-r7.apk 25-Oct-2024 19:10 36477
rclone-browser-1.8.0-r1.apk 25-Oct-2024 19:10 330624
rcon-cli-1.6.2-r8.apk 12-Feb-2025 17:34 2545951
rdedup-3.2.1-r5.apk 25-Oct-2024 19:10 771112
rdrview-0.1.3-r0.apk 22-Feb-2025 19:23 28772
rdrview-doc-0.1.3-r0.apk 22-Feb-2025 19:23 3782
reaction-1.4.1-r3.apk 12-Feb-2025 17:34 2257474
reaction-openrc-1.4.1-r3.apk 12-Feb-2025 17:34 1808
reaction-tools-1.4.1-r3.apk 12-Feb-2025 17:34 43526
readosm-1.1.0-r2.apk 25-Oct-2024 19:10 14143
readosm-dev-1.1.0-r2.apk 25-Oct-2024 19:10 19788
reason-3.8.2-r1.apk 25-Oct-2024 19:10 26995021
reason-rtop-3.8.2-r1.apk 25-Oct-2024 19:10 25446623
reaver-wps-fork-t6x-1.6.6-r1.apk 25-Oct-2024 19:10 455873
recoll-1.37.5-r1.apk 25-Oct-2024 19:10 2796588
recoll-dev-1.37.5-r1.apk 25-Oct-2024 19:10 54328
recoll-doc-1.37.5-r1.apk 25-Oct-2024 19:10 21647
recyclarr-7.4.1-r0.apk 24-Feb-2025 11:55 2716905
recyclarr-doc-7.4.1-r0.apk 24-Feb-2025 11:55 2264
refine-0.4.5-r0.apk 23-Feb-2025 20:12 28690
refine-lang-0.4.5-r0.apk 23-Feb-2025 20:12 18666
reg-0.16.1-r25.apk 12-Feb-2025 17:34 4403015
regal-0.29.2-r2.apk 12-Feb-2025 17:34 9719075
regal-bash-completion-0.29.2-r2.apk 12-Feb-2025 17:34 5119
regal-fish-completion-0.29.2-r2.apk 12-Feb-2025 17:34 4360
regal-zsh-completion-0.29.2-r2.apk 12-Feb-2025 17:34 4071
regclient-0.7.1-r2.apk 12-Feb-2025 17:34 12519749
remake-1.5-r1.apk 25-Oct-2024 19:10 141040
remake-dev-1.5-r1.apk 25-Oct-2024 19:10 3001
remake-doc-1.5-r1.apk 25-Oct-2024 19:10 206491
remake-make-1.5-r1.apk 25-Oct-2024 19:10 1568
remind-caldav-0.8.0-r4.apk 25-Oct-2024 19:10 18108
remind-caldav-pyc-0.8.0-r4.apk 25-Oct-2024 19:10 6286
repgrep-0.15.0-r0.apk 25-Oct-2024 19:10 1214193
repgrep-bash-completion-0.15.0-r0.apk 25-Oct-2024 19:10 1677
repgrep-doc-0.15.0-r0.apk 25-Oct-2024 19:10 6647
repgrep-fish-completion-0.15.0-r0.apk 25-Oct-2024 19:10 4247
repgrep-zsh-completion-0.15.0-r0.apk 25-Oct-2024 19:10 1687
repo-2.52-r0.apk 23-Feb-2025 20:11 17183
repo-doc-2.52-r0.apk 23-Feb-2025 20:11 39373
repology-cli-1.9.0-r0.apk 13-Feb-2025 18:12 126860
repology-cli-doc-1.9.0-r0.apk 13-Feb-2025 18:12 4554
repowerd-2023.07-r3.apk 17-Feb-2025 15:08 879618
repowerd-openrc-2023.07-r3.apk 17-Feb-2025 15:08 1715
reprotest-0.7.29-r0.apk 23-Dec-2024 04:07 82100
reprotest-pyc-0.7.29-r0.apk 23-Dec-2024 04:07 105391
resources-1.6.0-r1.apk 25-Oct-2024 19:10 2158696
resources-lang-1.6.0-r1.apk 25-Oct-2024 19:10 93864
responder-3.1.5.0-r0.apk 25-Oct-2024 19:10 767986
restart-services-0.17.0-r0.apk 25-Oct-2024 19:10 11785
restart-services-doc-0.17.0-r0.apk 25-Oct-2024 19:10 6021
restic.mk-0.4.0-r0.apk 25-Oct-2024 19:10 2979
restinio-0.6.19-r1.apk 14-Dec-2024 19:38 1261
restinio-dev-0.6.19-r1.apk 14-Dec-2024 19:38 274275
rezolus-2.11.1-r3.apk 25-Oct-2024 19:10 877734
rezolus-doc-2.11.1-r3.apk 25-Oct-2024 19:10 3438
rezolus-openrc-2.11.1-r3.apk 25-Oct-2024 19:10 2117
rgxg-0.1.2-r2.apk 25-Oct-2024 19:10 14984
rgxg-dev-0.1.2-r2.apk 25-Oct-2024 19:10 3607
rgxg-doc-0.1.2-r2.apk 25-Oct-2024 19:10 12613
rhasspy-nlu-0.4.0-r3.apk 25-Oct-2024 19:10 44635
rhasspy-nlu-pyc-0.4.0-r3.apk 25-Oct-2024 19:10 74616
riemann-cli-0.8.0-r2.apk 25-Oct-2024 19:10 537427
rime-ls-0.4.1-r0.apk 25-Dec-2024 15:38 1091980
rinetd-0.73-r0.apk 25-Oct-2024 19:10 15225
rinetd-doc-0.73-r0.apk 25-Oct-2024 19:10 16842
rinetd-openrc-0.73-r0.apk 25-Oct-2024 19:10 1756
rio-0.2.7-r0.apk 17-Feb-2025 15:08 10119706
rio-doc-0.2.7-r0.apk 17-Feb-2025 15:08 2278
rio-terminfo-0.2.7-r0.apk 17-Feb-2025 15:08 3437
ripasso-cursive-0.6.5-r0.apk 25-Oct-2024 19:10 2953073
ripdrag-0.4.10-r0.apk 25-Oct-2024 19:10 322907
river-bedload-0.1.1-r0.apk 27-Oct-2024 18:25 111205
river-bedload-doc-0.1.1-r0.apk 27-Oct-2024 18:25 2300
river-bedload-zsh-completion-0.1.1-r0.apk 27-Oct-2024 18:25 1853
river-luatile-0.1.3-r0.apk 25-Oct-2024 19:10 391673
river-shifttags-0.2.1-r0.apk 25-Oct-2024 19:10 6347
river-shifttags-doc-0.2.1-r0.apk 25-Oct-2024 19:10 2394
rivercarro-0.5.0-r0.apk 27-Oct-2024 13:20 123479
rivercarro-doc-0.5.0-r0.apk 27-Oct-2024 13:20 3116
rizin-0.6.3-r1.apk 25-Oct-2024 19:10 2797980
rizin-cutter-2.3.2-r2.apk 25-Oct-2024 19:10 2176064
rizin-cutter-dev-2.3.2-r2.apk 25-Oct-2024 19:10 110494
rizin-dev-0.6.3-r1.apk 25-Oct-2024 19:10 313452
rizin-doc-0.6.3-r1.apk 25-Oct-2024 19:10 18068
rizin-libs-0.6.3-r1.apk 25-Oct-2024 19:10 4364282
rkdeveloptool-1.1.0-r1.apk 25-Oct-2024 19:10 57265
rkdeveloptool-doc-1.1.0-r1.apk 25-Oct-2024 19:10 3023
rke-1.4.3-r12.apk 12-Feb-2025 17:34 19103240
rke-doc-1.4.3-r12.apk 12-Feb-2025 17:34 2963
rmlint-2.10.2-r2.apk 25-Oct-2024 19:10 134637
rmlint-doc-2.10.2-r2.apk 25-Oct-2024 19:10 18236
rmlint-lang-2.10.2-r2.apk 25-Oct-2024 19:10 19353
rmlint-shredder-2.10.2-r2.apk 25-Oct-2024 19:10 98267
rmlint-shredder-pyc-2.10.2-r2.apk 25-Oct-2024 19:10 127484
rofi-blocks-0.1.0-r0.apk 25-Oct-2024 19:10 12228
rofi-json-menu-0.2.0-r1.apk 25-Oct-2024 19:10 5690
rofi-pass-2.0.2-r2.apk 25-Oct-2024 19:10 9052
rofi-pass-doc-2.0.2-r2.apk 25-Oct-2024 19:10 5069
rook-0.2.0-r3.apk 12-Feb-2025 17:34 1853579
rook-autotype-0.2.0-r3.apk 12-Feb-2025 17:34 3777
rook-doc-0.2.0-r3.apk 12-Feb-2025 17:34 23869
rook-getattr-0.2.0-r3.apk 12-Feb-2025 17:34 2712
rosdep-0.19.0-r6.apk 25-Oct-2024 19:10 67716
rosdep-pyc-0.19.0-r6.apk 25-Oct-2024 19:10 121850
rosenpass-0.2.2-r1.apk 01-Feb-2025 18:23 918000
roswell-24.10.115-r0.apk 25-Oct-2024 19:10 114015
roswell-doc-24.10.115-r0.apk 25-Oct-2024 19:10 18603
rpg-cli-1.2.0-r0.apk 25-Oct-2024 19:10 559536
rpi-imager-1.9.0-r0.apk 25-Oct-2024 19:10 712228
rpi-imager-doc-1.9.0-r0.apk 25-Oct-2024 19:10 3147
rpicam-apps-1.5.3-r0.apk 26-Jan-2025 06:27 446577
rpicam-apps-doc-1.5.3-r0.apk 26-Jan-2025 06:27 2369
rss-email-0.5.0-r0.apk 25-Oct-2024 19:10 2220557
rss-email-doc-0.5.0-r0.apk 25-Oct-2024 19:10 6587
rsstail-2.2-r0.apk 13-Feb-2025 07:17 8784
rsstail-doc-2.2-r0.apk 13-Feb-2025 07:17 2819
rtl-power-fftw-20200601-r4.apk 25-Oct-2024 19:10 61858
rtl-power-fftw-doc-20200601-r4.apk 25-Oct-2024 19:10 8353
rtl8812au-src-5.6.4.2_git20231103-r1.apk 05-Jan-2025 21:12 2733939
rtl88x2bu-src-5.13.1_git20230711-r0.apk 25-Oct-2024 19:10 4189229
rtmidi-6.0.0-r0.apk 25-Oct-2024 19:10 30657
rtmidi-dev-6.0.0-r0.apk 25-Oct-2024 19:10 14461
rtptools-1.22-r2.apk 25-Oct-2024 19:10 38351
rtptools-doc-1.22-r2.apk 25-Oct-2024 19:10 12912
rtw89-src-7_p20230725-r0.apk 25-Oct-2024 19:10 776973
ruby-build-20241225.2-r0.apk 09-Jan-2025 06:30 90394
ruby-build-doc-20241225.2-r0.apk 09-Jan-2025 06:30 4851
ruby-build-runtime-20241225.2-r0.apk 09-Jan-2025 06:30 1283
ruby-libguestfs-1.52.0-r1.apk 25-Oct-2024 19:10 102830
ruff-lsp-0.0.53-r0.apk 25-Oct-2024 19:10 21837
ruff-lsp-pyc-0.0.53-r0.apk 25-Oct-2024 19:10 35496
runst-0.1.7-r0.apk 25-Oct-2024 19:10 1659808
runst-doc-0.1.7-r0.apk 25-Oct-2024 19:10 7899
rust-script-0.35.0-r0.apk 27-Oct-2024 16:47 875513
rustdesk-server-1.1.10.3-r0.apk 25-Oct-2024 19:10 2476495
rustdesk-server-openrc-1.1.10.3-r0.apk 25-Oct-2024 19:10 2301
rustic-0.9.3-r0.apk 25-Oct-2024 19:10 6035642
rustic-bash-completion-0.9.3-r0.apk 25-Oct-2024 19:10 8818
rustic-fish-completion-0.9.3-r0.apk 25-Oct-2024 19:10 17069
rustic-zsh-completion-0.9.3-r0.apk 25-Oct-2024 19:10 13342
rustscan-2.3.0-r0.apk 25-Oct-2024 19:10 1441185
ruuvi-prometheus-0.1.7-r7.apk 12-Feb-2025 17:34 3500676
ruuvi-prometheus-openrc-0.1.7-r7.apk 12-Feb-2025 17:34 1653
rvlprog-0.91-r2.apk 25-Oct-2024 19:10 26264
ry-0.5.2-r1.apk 25-Oct-2024 19:10 4729
ry-bash-completion-0.5.2-r1.apk 25-Oct-2024 19:10 1998
ry-zsh-completion-0.5.2-r1.apk 25-Oct-2024 19:10 2310
rygel-0.44.1-r0.apk 01-Dec-2024 12:57 792751
rygel-dev-0.44.1-r0.apk 01-Dec-2024 12:57 43617
rygel-doc-0.44.1-r0.apk 01-Dec-2024 12:57 9836
rygel-lang-0.44.1-r0.apk 01-Dec-2024 12:57 580794
s-dkim-sign-0.6.2-r0.apk 25-Oct-2024 19:10 56810
s-dkim-sign-doc-0.6.2-r0.apk 25-Oct-2024 19:10 8725
s-postgray-0.8.3-r0.apk 25-Oct-2024 19:10 45239
s-postgray-doc-0.8.3-r0.apk 25-Oct-2024 19:10 9812
s5cmd-2.2.2-r7.apk 12-Feb-2025 17:34 4808159
saait-0.8-r0.apk 25-Oct-2024 19:10 7499
saait-doc-0.8-r0.apk 25-Oct-2024 19:10 13010
sacc-1.07-r0.apk 25-Oct-2024 19:10 16200
sacc-doc-1.07-r0.apk 25-Oct-2024 19:10 2935
sandbar-0.1-r0.apk 25-Oct-2024 19:10 16573
satellite-1.0.0-r25.apk 12-Feb-2025 17:34 2045431
satellite-doc-1.0.0-r25.apk 12-Feb-2025 17:34 3036
satellite-openrc-1.0.0-r25.apk 12-Feb-2025 17:34 1883
sauerbraten-2020.12.29-r4.apk 22-Feb-2025 15:38 979211289
sbase-0_git20210730-r3.apk 25-Oct-2024 19:10 123441
sbase-doc-0_git20210730-r3.apk 25-Oct-2024 19:10 59638
sblg-0.5.11-r0.apk 25-Oct-2024 19:10 39814
sblg-doc-0.5.11-r0.apk 25-Oct-2024 19:10 1410348
sblim-sfcc-2.2.8-r3.apk 25-Oct-2024 19:10 55087
sblim-sfcc-dev-2.2.8-r3.apk 25-Oct-2024 19:10 22792
sblim-sfcc-doc-2.2.8-r3.apk 25-Oct-2024 19:10 35408
sblim-wbemcli-1.6.3-r1.apk 25-Oct-2024 19:10 96726
sblim-wbemcli-doc-1.6.3-r1.apk 25-Oct-2024 19:10 4633
sc-controller-0.5.0-r0.apk 27-Jan-2025 21:37 1330378
sc-controller-pyc-0.5.0-r0.apk 27-Jan-2025 21:37 832591
sc-im-0.8.4-r0.apk 25-Oct-2024 19:10 167484
sc-im-doc-0.8.4-r0.apk 25-Oct-2024 19:10 4860
sc3-plugins-3.13.0-r2.apk 08-Feb-2025 23:46 10318393
scalingo-1.30.0-r7.apk 12-Feb-2025 17:34 5185411
scap-workbench-1.2.1-r3.apk 25-Oct-2024 19:10 234913
scap-workbench-doc-1.2.1-r3.apk 25-Oct-2024 19:10 1664240
schismtracker-20231029-r0.apk 25-Oct-2024 19:10 392827
schismtracker-doc-20231029-r0.apk 25-Oct-2024 19:10 6393
sciteco-2.3.0-r0.apk 29-Dec-2024 08:40 1304260
sciteco-common-2.3.0-r0.apk 29-Dec-2024 08:40 153357
sciteco-doc-2.3.0-r0.apk 29-Dec-2024 08:40 113300
sciteco-gtk-2.3.0-r0.apk 29-Dec-2024 08:40 1467514
scooper-1.3-r1.apk 25-Oct-2024 19:10 531720
scooper-doc-1.3-r1.apk 25-Oct-2024 19:10 2652
screenkey-1.5-r6.apk 25-Oct-2024 19:10 78838
screenkey-doc-1.5-r6.apk 25-Oct-2024 19:10 11284
screenkey-pyc-1.5-r6.apk 25-Oct-2024 19:10 75121
scrypt-1.3.2-r0.apk 25-Oct-2024 19:10 28737
scrypt-doc-1.3.2-r0.apk 25-Oct-2024 19:10 4386
sct-2018.12.18-r1.apk 25-Oct-2024 19:10 3800
sdparm-1.12-r1.apk 25-Oct-2024 19:10 151221
sdparm-doc-1.12-r1.apk 25-Oct-2024 19:10 19786
sdrangel-7.20.0-r3.apk 08-Feb-2025 23:46 44802113
seastar-22.11.0_git20240815-r4.apk 18-Dec-2024 09:36 1574583
seastar-dev-22.11.0_git20240815-r4.apk 18-Dec-2024 09:36 426067
seastar-testing-22.11.0_git20240815-r4.apk 18-Dec-2024 09:36 167233
seaweedfs-3.80-r2.apk 12-Feb-2025 17:34 23405982
seaweedfs-doc-3.80-r2.apk 12-Feb-2025 17:34 13880
seaweedfs-openrc-3.80-r2.apk 12-Feb-2025 17:34 1894
secsipidx-1.3.2-r9.apk 12-Feb-2025 17:34 2561241
secsipidx-dev-1.3.2-r9.apk 12-Feb-2025 17:34 5361762
secsipidx-libs-1.3.2-r9.apk 12-Feb-2025 17:34 2284698
sedutil-1.15.1-r1.apk 25-Oct-2024 19:10 184218
sedutil-doc-1.15.1-r1.apk 25-Oct-2024 19:10 3134
seed7-05.20240322-r0.apk 25-Oct-2024 19:10 10458160
seed7-doc-05.20240322-r0.apk 25-Oct-2024 19:10 1679980
seed7-nano-05.20240322-r0.apk 25-Oct-2024 19:10 2532
seed7-vim-05.20240322-r0.apk 25-Oct-2024 19:10 4111
sentinel-minipot-2.3.0-r1.apk 25-Oct-2024 19:10 45169
sentinel-minipot-openrc-2.3.0-r1.apk 25-Oct-2024 19:10 2660
sentinel-proxy-2.1.0-r0.apk 25-Oct-2024 19:10 45134
sentinel-proxy-dev-2.1.0-r0.apk 25-Oct-2024 19:10 4723
sentinel-proxy-openrc-2.1.0-r0.apk 25-Oct-2024 19:10 2342
sentrypeer-3.0.2-r0.apk 25-Oct-2024 19:10 24592
sentrypeer-doc-3.0.2-r0.apk 25-Oct-2024 19:10 3414
serialdv-1.1.4-r1.apk 08-Feb-2025 23:46 7034
serialdv-dev-1.1.4-r1.apk 08-Feb-2025 23:46 5258
serialdv-libs-1.1.4-r1.apk 08-Feb-2025 23:46 62994
serie-0.4.4-r0.apk 27-Feb-2025 18:29 859388
serie-doc-0.4.4-r0.apk 27-Feb-2025 18:29 6644
setroot-2.0.2-r1.apk 25-Oct-2024 19:10 11752
setroot-doc-2.0.2-r1.apk 25-Oct-2024 19:10 4551
sflowtool-6.02-r0.apk 25-Oct-2024 19:10 43268
sflowtool-doc-6.02-r0.apk 25-Oct-2024 19:10 9578
sfwbar-1.0_beta16-r1.apk 12-Dec-2024 19:02 294421
sfwbar-doc-1.0_beta16-r1.apk 12-Dec-2024 19:02 27083
sgt-puzzles-0_git20230310-r2.apk 25-Oct-2024 19:10 3097708
shadowsocks-libev-3.3.5-r4.apk 25-Oct-2024 19:10 229507
shadowsocks-libev-dev-3.3.5-r4.apk 25-Oct-2024 19:10 3551
shadowsocks-libev-doc-3.3.5-r4.apk 25-Oct-2024 19:10 28663
shc-4.0.3-r2.apk 25-Oct-2024 19:10 17226
shellinabox-2.21-r3.apk 25-Oct-2024 19:10 121350
shellinabox-doc-2.21-r3.apk 25-Oct-2024 19:10 19821
shellinabox-openrc-2.21-r3.apk 25-Oct-2024 19:10 3608
shfm-0.4.2-r1.apk 25-Oct-2024 19:10 4133
shfm-doc-0.4.2-r1.apk 25-Oct-2024 19:10 6284
shine-3.1.1-r0.apk 25-Oct-2024 19:10 55131
shipments-0.3.0-r0.apk 25-Oct-2024 19:10 24034
shntool-3.0.10-r5.apk 24-Feb-2025 21:33 59750
shntool-doc-3.0.10-r5.apk 24-Feb-2025 21:33 10518
shutdown-clear-machine-id-1.0.0-r0.apk 25-Oct-2024 19:10 1851
sigil-2.1.0-r0.apk 25-Oct-2024 19:10 4224938
sigil-lang-2.1.0-r0.apk 25-Oct-2024 19:10 3244506
sigma-0.23.1-r1.apk 25-Oct-2024 19:10 242461
sigma-pyc-0.23.1-r1.apk 25-Oct-2024 19:10 348180
signal-desktop-7.44.0-r0.apk 27-Feb-2025 15:17 60087293
sigrok-cli-0.7.2-r0.apk 25-Oct-2024 19:10 39029
sigrok-cli-doc-0.7.2-r0.apk 25-Oct-2024 19:10 8184
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk 25-Oct-2024 19:10 13384
silc-client-1.1.11-r17.apk 25-Oct-2024 19:10 888399
silc-client-doc-1.1.11-r17.apk 25-Oct-2024 19:10 84758
simavr-1.7-r1.apk 25-Oct-2024 19:10 93603
simavr-dev-1.7-r1.apk 25-Oct-2024 19:10 825023
simgear-2020.3.19-r1.apk 25-Oct-2024 19:10 1793160
simgear-dev-2020.3.19-r1.apk 25-Oct-2024 19:10 403694
simh-3.11.1-r1.apk 25-Oct-2024 19:10 3224495
simp1e-cursors-0_git20211003-r0.apk 25-Oct-2024 19:10 758880
simp1e-cursors-breeze-0_git20211003-r0.apk 25-Oct-2024 19:10 877674
simp1e-cursors-dark-0_git20211003-r0.apk 25-Oct-2024 19:10 798412
simp1e-cursors-snow-0_git20211003-r0.apk 25-Oct-2024 19:10 847806
simp1e-cursors-solarized-0_git20211003-r0.apk 25-Oct-2024 19:10 734622
simpleble-0.8.1-r0.apk 25-Feb-2025 22:24 1192
simpleble-dev-0.8.1-r0.apk 25-Feb-2025 22:24 24220
sing-box-1.11.4-r0.apk 28-Feb-2025 06:16 11230686
sing-box-bash-completion-1.11.4-r0.apk 28-Feb-2025 06:16 5204
sing-box-fish-completion-1.11.4-r0.apk 28-Feb-2025 06:16 4395
sing-box-openrc-1.11.4-r0.apk 28-Feb-2025 06:16 2073
sing-box-zsh-completion-1.11.4-r0.apk 28-Feb-2025 06:16 4102
sing-geoip-20250212-r0.apk 17-Feb-2025 15:08 1707848
sing-geosite-20250227085631-r0.apk 28-Feb-2025 06:16 1141808
singular-4.4.1-r0.apk 06-Feb-2025 04:44 10963521
singular-dev-4.4.1-r0.apk 06-Feb-2025 04:44 372246
singular-doc-4.4.1-r0.apk 06-Feb-2025 04:44 1401946
singular-emacs-4.4.1-r0.apk 06-Feb-2025 04:44 103814
singular-static-4.4.1-r0.apk 06-Feb-2025 04:44 6181659
sipexer-1.2.0-r0.apk 17-Feb-2025 15:08 2609625
sipgrep-2.2.0-r1.apk 25-Oct-2024 19:10 26900
siril-1.2.6-r0.apk 02-Feb-2025 03:03 2835470
siril-doc-1.2.6-r0.apk 02-Feb-2025 03:03 18140
siril-lang-1.2.6-r0.apk 02-Feb-2025 03:03 1629621
sish-2.16.1-r2.apk 12-Feb-2025 17:34 7895820
sish-openrc-2.16.1-r2.apk 12-Feb-2025 17:34 1894
slidge-0.1.3-r0.apk 25-Oct-2024 19:10 148081
slidge-doc-0.1.3-r0.apk 25-Oct-2024 19:10 4650
slidge-matridge-0.1.0-r0.apk 25-Oct-2024 19:10 30400
slidge-matridge-openrc-0.1.0-r0.apk 25-Oct-2024 19:10 1546
slidge-matridge-pyc-0.1.0-r0.apk 25-Oct-2024 19:10 38833
slidge-openrc-0.1.3-r0.apk 25-Oct-2024 19:10 2370
slidge-pyc-0.1.3-r0.apk 25-Oct-2024 19:10 291851
sloccount-2.26-r3.apk 25-Oct-2024 19:10 59931
sloccount-doc-2.26-r3.apk 25-Oct-2024 19:10 60793
slurm-0.4.4-r0.apk 25-Oct-2024 19:10 14175
slurm-doc-0.4.4-r0.apk 25-Oct-2024 19:10 2313
smassh-3.1.6-r0.apk 21-Nov-2024 02:56 74140
smassh-pyc-3.1.6-r0.apk 21-Nov-2024 02:56 71917
smile-2.9.5-r0.apk 25-Oct-2024 19:10 710356
smile-lang-2.9.5-r0.apk 25-Oct-2024 19:10 24277
smplxmpp-0.9.3-r4.apk 18-Dec-2024 12:16 150371
smplxmpp-doc-0.9.3-r4.apk 18-Dec-2024 12:16 25370
snapper-0.12.1-r0.apk 13-Feb-2025 07:30 1057708
snapper-bash-completion-0.12.1-r0.apk 13-Feb-2025 07:30 3122
snapper-dev-0.12.1-r0.apk 13-Feb-2025 07:30 10581
snapper-doc-0.12.1-r0.apk 13-Feb-2025 07:30 25455
snapper-lang-0.12.1-r0.apk 13-Feb-2025 07:30 201015
snapper-zsh-completion-0.12.1-r0.apk 13-Feb-2025 07:30 3594
snapraid-12.3-r0.apk 25-Oct-2024 19:10 259467
snapraid-doc-12.3-r0.apk 25-Oct-2024 19:10 17697
snapweb-0.7.0-r0.apk 25-Oct-2024 19:10 394627
sndfile-tools-1.5-r1.apk 25-Oct-2024 19:10 46432
sndfile-tools-doc-1.5-r1.apk 25-Oct-2024 19:10 369658
snippets-ls-0.0.4_git20240617-r2.apk 12-Feb-2025 17:34 1330839
snore-0.3.1-r0.apk 25-Oct-2024 19:10 4839
snore-doc-0.3.1-r0.apk 25-Oct-2024 19:10 3143
so-0.4.10-r0.apk 25-Oct-2024 19:10 1990182
soapy-bladerf-0.4.2-r0.apk 31-Dec-2024 01:11 48731
soapy-hackrf-0.3.4-r2.apk 25-Oct-2024 19:10 30012
soapy-sdr-remote-0.5.2-r1.apk 25-Oct-2024 19:10 198945
soapy-sdr-remote-doc-0.5.2-r1.apk 25-Oct-2024 19:10 2426
soapy-sdr-remote-openrc-0.5.2-r1.apk 25-Oct-2024 19:10 1758
solanum-5.0.0-r0.apk 25-Oct-2024 19:10 237562
solanum-lang-5.0.0-r0.apk 25-Oct-2024 19:10 41248
solarus-engine-1.7.0-r1.apk 22-Feb-2025 15:38 2060703
solarus-engine-doc-1.7.0-r1.apk 22-Feb-2025 15:38 3372
somebar-1.0.3-r0.apk 25-Oct-2024 19:10 44480
somebar-doc-1.0.3-r0.apk 25-Oct-2024 19:10 2476
sonar-scanner-6.2.1.4610-r0.apk 20-Dec-2024 20:12 11191790
sonarr-4.0.13.2932-r0.apk 22-Feb-2025 15:38 28599714
sonarr-openrc-4.0.13.2932-r0.apk 22-Feb-2025 15:38 2032
sopwith-2.5.0-r0.apk 25-Oct-2024 19:10 52027
sopwith-doc-2.5.0-r0.apk 25-Oct-2024 19:10 15225
soqt-1.6.3-r0.apk 13-Dec-2024 20:44 227030
soqt-dev-1.6.3-r0.apk 13-Dec-2024 20:44 85889
soqt-doc-1.6.3-r0.apk 13-Dec-2024 20:44 902312
sos-0.8-r28.apk 12-Feb-2025 17:34 2589873
soundconverter-4.0.6-r0.apk 12-Nov-2024 15:33 168627
soundconverter-doc-4.0.6-r0.apk 12-Nov-2024 15:33 4586
soundconverter-lang-4.0.6-r0.apk 12-Nov-2024 15:33 109398
soundconverter-pyc-4.0.6-r0.apk 12-Nov-2024 15:33 76642
soundfont-vintage-dreams-waves-2.1-r2.apk 25-Oct-2024 19:10 92984
soundfont-vintage-dreams-waves-doc-2.1-r2.apk 25-Oct-2024 19:10 2219
sourcegit-8.33-r0.apk 25-Oct-2024 19:10 24374994
spacectl-1.0.0-r3.apk 12-Feb-2025 17:34 5072009
spacectl-bash-completion-1.0.0-r3.apk 12-Feb-2025 17:34 2039
spacectl-doc-1.0.0-r3.apk 12-Feb-2025 17:34 2277
spacectl-fish-completion-1.0.0-r3.apk 12-Feb-2025 17:34 6137
spacectl-zsh-completion-1.0.0-r3.apk 12-Feb-2025 17:34 1788
spacenavd-1.2-r0.apk 25-Oct-2024 19:10 33134
spacer-0.3.8-r0.apk 28-Feb-2025 06:10 891092
spacer-doc-0.3.8-r0.apk 28-Feb-2025 06:10 3088
spampd-2.62-r0.apk 25-Feb-2025 22:31 39446
spampd-openrc-2.62-r0.apk 25-Feb-2025 22:31 2023
spark-2.8.3-r1.apk 25-Oct-2024 19:10 30331710
speakersafetyd-1.0.2-r0.apk 01-Nov-2024 15:07 354282
speakersafetyd-openrc-1.0.2-r0.apk 01-Nov-2024 15:07 1654
speedcrunch-0.12-r3.apk 25-Oct-2024 19:10 1174277
speedtest-5.2.5-r1.apk 25-Oct-2024 19:10 259560
speedtest-doc-5.2.5-r1.apk 25-Oct-2024 19:10 18844
speedtest-examples-5.2.5-r1.apk 25-Oct-2024 19:10 13279
speedtest-go-1.1.5-r12.apk 12-Feb-2025 17:34 5260009
speedtest-go-doc-1.1.5-r12.apk 12-Feb-2025 17:34 4547
speedtest-go-openrc-1.1.5-r12.apk 12-Feb-2025 17:34 1716
speedtest_exporter-0.3.2-r12.apk 12-Feb-2025 17:34 3653542
speedtest_exporter-openrc-0.3.2-r12.apk 12-Feb-2025 17:34 1835
spice-html5-0.3.0-r1.apk 25-Oct-2024 19:10 448978
spike-1.1.0-r0.apk 25-Oct-2024 19:10 1490193
spiped-1.6.2-r1.apk 25-Oct-2024 19:10 74969
spiritvnc-0.6.5-r0.apk 03-Nov-2024 05:09 48991
splitter-0.3.0-r0.apk 25-Oct-2024 19:10 542168
splitter-doc-0.3.0-r0.apk 25-Oct-2024 19:10 3939
spnavcfg-1.1-r0.apk 25-Oct-2024 19:10 36943
sponskrub-3.7.2-r8.apk 26-Dec-2024 16:58 185167
spotify-player-0.18.1-r0.apk 25-Oct-2024 19:10 3413897
spotify-tui-0.25.0-r2.apk 25-Oct-2024 19:10 1872434
spread-sheet-widget-0.10-r0.apk 25-Oct-2024 19:10 47591
spread-sheet-widget-dbg-0.10-r0.apk 25-Oct-2024 19:10 199131
spread-sheet-widget-dev-0.10-r0.apk 25-Oct-2024 19:10 373429
spread-sheet-widget-doc-0.10-r0.apk 25-Oct-2024 19:10 4749
spvm-errno-0.093-r0.apk 26-Jan-2025 06:27 17826
spvm-errno-doc-0.093-r0.apk 26-Jan-2025 06:27 6039
spvm-math-1.006-r0.apk 04-Feb-2025 07:32 21317
spvm-math-doc-1.006-r0.apk 04-Feb-2025 07:32 7009
spvm-mime-base64-1.003-r0.apk 04-Feb-2025 07:32 17409
spvm-mime-base64-doc-1.003-r0.apk 04-Feb-2025 07:32 5479
spvm-thread-0.003-r0.apk 04-Feb-2025 07:32 15338
spvm-thread-doc-0.003-r0.apk 04-Feb-2025 07:32 5919
sq-0.48.5-r1.apk 12-Feb-2025 17:34 10133369
sq-bash-completion-0.48.5-r1.apk 12-Feb-2025 17:34 5100
sq-doc-0.48.5-r1.apk 12-Feb-2025 17:34 5962
sq-fish-completion-0.48.5-r1.apk 12-Feb-2025 17:34 4328
sq-zsh-completion-0.48.5-r1.apk 12-Feb-2025 17:34 4048
sqawk-0.24.0-r0.apk 25-Oct-2024 19:10 14693
sqawk-doc-0.24.0-r0.apk 25-Oct-2024 19:10 115865
sqlar-0_git20180107-r1.apk 25-Oct-2024 19:10 14316
sqlar-doc-0_git20180107-r1.apk 25-Oct-2024 19:10 3384
sqliteodbc-0.99991-r0.apk 25-Oct-2024 19:10 95122
sqlmap-1.9.2-r0.apk 26-Feb-2025 06:27 7101099
sqlmap-pyc-1.9.2-r0.apk 26-Feb-2025 06:27 1217471
sqm-scripts-1.6.0-r0.apk 25-Oct-2024 19:10 20959
srain-1.8.0-r0.apk 20-Nov-2024 00:45 168755
srain-lang-1.8.0-r0.apk 20-Nov-2024 00:45 35895
srb2-2.2.13-r0.apk 25-Oct-2024 19:10 1905648
srb2-data-2.2.13-r0.apk 25-Oct-2024 19:10 144600834
sregex-0.0.1-r1.apk 25-Oct-2024 19:10 21761
sregex-dev-0.0.1-r1.apk 25-Oct-2024 19:10 25925
ssdfs-tools-4.09-r0.apk 25-Oct-2024 19:10 98314
ssdfs-tools-dev-4.09-r0.apk 25-Oct-2024 19:10 18901
ssh-cert-authority-2.0.0-r23.apk 12-Feb-2025 17:34 4712366
ssh-honeypot-0.1.1-r1.apk 25-Oct-2024 19:10 9361
ssh-honeypot-openrc-0.1.1-r1.apk 25-Oct-2024 19:10 2099
ssh-tools-1.8-r0.apk 25-Oct-2024 19:10 26211
sshs-4.6.1-r0.apk 26-Jan-2025 06:27 675670
sshsrv-1.0-r9.apk 12-Feb-2025 17:34 958358
sshuttle-1.1.2-r0.apk 25-Oct-2024 19:10 63739
sshuttle-doc-1.1.2-r0.apk 25-Oct-2024 19:10 8680
sshuttle-pyc-1.1.2-r0.apk 25-Oct-2024 19:10 103117
ssss-0.5.7-r0.apk 25-Oct-2024 19:10 12422
ssss-doc-0.5.7-r0.apk 25-Oct-2024 19:10 3418
sstp-client-1.0.20-r1.apk 01-Dec-2024 17:03 45546
sstp-client-doc-1.0.20-r1.apk 01-Dec-2024 17:03 4915
stacker-1.0.0-r2.apk 12-Feb-2025 17:34 23797195
stacker-doc-1.0.0-r2.apk 12-Feb-2025 17:34 15077
stalwart-cli-0.11.6-r0.apk 03-Feb-2025 21:20 2102123
stalwart-mail-0.11.6-r0.apk 03-Feb-2025 21:20 13406770
stalwart-mail-openrc-0.11.6-r0.apk 03-Feb-2025 21:20 2049
stardict-3.0.6-r6.apk 25-Oct-2024 19:10 984359
stardict-doc-3.0.6-r6.apk 25-Oct-2024 19:10 2289
stardict-help-3.0.6-r6.apk 25-Oct-2024 19:10 3560017
stardict-lang-3.0.6-r6.apk 25-Oct-2024 19:10 296452
starfighter-2.4-r0.apk 25-Oct-2024 19:10 50193634
starfighter-doc-2.4-r0.apk 25-Oct-2024 19:10 22114
startup-2.0.3-r5.apk 25-Oct-2024 19:10 410818
startup-bridge-dconf-2.0.3-r5.apk 25-Oct-2024 19:10 30392
startup-bridge-udev-2.0.3-r5.apk 25-Oct-2024 19:10 30331
startup-dev-2.0.3-r5.apk 25-Oct-2024 19:10 5985
startup-doc-2.0.3-r5.apk 25-Oct-2024 19:10 48736
startup-fish-completion-2.0.3-r5.apk 25-Oct-2024 19:10 5511
startup-lang-2.0.3-r5.apk 25-Oct-2024 19:10 16946
startup-tools-2.0.3-r5.apk 25-Oct-2024 19:10 13631
stayrtr-0.6.1-r2.apk 12-Feb-2025 17:34 9944598
stayrtr-openrc-0.6.1-r2.apk 12-Feb-2025 17:34 1949
steamguard-cli-0.9.6-r0.apk 25-Oct-2024 19:10 2294435
steamguard-cli-bash-completion-0.9.6-r0.apk 25-Oct-2024 19:10 2569
steamguard-cli-zsh-completion-0.9.6-r0.apk 25-Oct-2024 19:10 3654
steghide-0.5.1.1-r0.apk 25-Oct-2024 19:10 141263
steghide-doc-0.5.1.1-r0.apk 25-Oct-2024 19:10 14049
stern-1.32.0-r1.apk 12-Feb-2025 17:34 17048459
stern-bash-completion-1.32.0-r1.apk 12-Feb-2025 17:34 5913
stern-fish-completion-1.32.0-r1.apk 12-Feb-2025 17:34 4359
stern-zsh-completion-1.32.0-r1.apk 12-Feb-2025 17:34 4077
stgit-2.4.7-r1.apk 25-Oct-2024 19:10 1931641
stgit-bash-completion-2.4.7-r1.apk 25-Oct-2024 19:10 17429
stgit-doc-2.4.7-r1.apk 25-Oct-2024 19:10 129263
stgit-emacs-2.4.7-r1.apk 25-Oct-2024 19:10 28219
stgit-fish-completion-2.4.7-r1.apk 25-Oct-2024 19:10 11987
stgit-vim-2.4.7-r1.apk 25-Oct-2024 19:10 3540
stgit-zsh-completion-2.4.7-r1.apk 25-Oct-2024 19:10 24141
sthttpd-2.27.1-r2.apk 25-Oct-2024 19:10 63628
sthttpd-doc-2.27.1-r2.apk 25-Oct-2024 19:10 18662
sthttpd-openrc-2.27.1-r2.apk 25-Oct-2024 19:10 1995
stockfish-16-r0.apk 25-Oct-2024 19:10 34281638
stone-soup-0.32.1-r0.apk 25-Oct-2024 19:10 33236586
strfry-1.0.3-r0.apk 27-Dec-2024 14:56 1625182
strfry-openrc-1.0.3-r0.apk 27-Dec-2024 14:56 2017
stubbyboot-1.0.4-r0.apk 10-Jan-2025 16:19 3273
stubbyboot-efistub-1.0.4-r0.apk 10-Jan-2025 16:19 26382
sturmreader-3.7.2-r1.apk 29-Jan-2025 19:49 1095795
sturmreader-lang-3.7.2-r1.apk 29-Jan-2025 19:49 39843
stw-0.3-r0.apk 25-Oct-2024 19:10 8091
stw-doc-0.3-r0.apk 25-Oct-2024 19:10 2587
subdl-0_git20230616-r1.apk 25-Oct-2024 19:10 8899
subdl-pyc-0_git20230616-r1.apk 25-Oct-2024 19:10 14459
sublime-music-0.12.0-r1.apk 25-Oct-2024 19:10 194058
sublime-music-pyc-0.12.0-r1.apk 25-Oct-2024 19:10 309435
subliminal-2.2.1-r0.apk 25-Oct-2024 19:10 69956
subliminal-pyc-2.2.1-r0.apk 25-Oct-2024 19:10 137846
sudo-ldap-1.9.14-r1.apk 25-Oct-2024 19:10 735298
supercollider-3.13.0-r6.apk 08-Feb-2025 23:46 8018839
supercollider-dev-3.13.0-r6.apk 08-Feb-2025 23:46 40146
supermin-5.2.2-r2.apk 25-Oct-2024 19:10 670198
supermin-doc-5.2.2-r2.apk 25-Oct-2024 19:10 9590
supersonik-0.1.0-r1.apk 23-Dec-2024 01:15 1100794
surf-2.1-r3.apk 25-Oct-2024 19:10 23960
surf-doc-2.1-r3.apk 25-Oct-2024 19:10 4747
surfraw-2.3.0-r0.apk 25-Oct-2024 19:10 80620
surfraw-doc-2.3.0-r0.apk 25-Oct-2024 19:10 18125
suru-icon-theme-2024.10.13-r0.apk 26-Jan-2025 06:27 3044022
svgbob-0.7.6-r0.apk 01-Feb-2025 21:08 427327
svls-0.2.12-r0.apk 25-Oct-2024 19:10 3443104
svls-doc-0.2.12-r0.apk 25-Oct-2024 19:10 2279
swaks-20240103.0-r0.apk 25-Oct-2024 19:10 67676
swaks-doc-20240103.0-r0.apk 25-Oct-2024 19:10 50836
swappy-1.5.1-r0.apk 25-Oct-2024 19:10 29196
swappy-doc-1.5.1-r0.apk 25-Oct-2024 19:10 3780
swappy-lang-1.5.1-r0.apk 25-Oct-2024 19:10 3723
sway-audio-idle-inhibit-0.1.2-r0.apk 25-Oct-2024 19:10 10500
swayhide-0.2.1-r2.apk 25-Oct-2024 19:10 251149
swhkd-1.2.1-r0.apk 25-Oct-2024 19:10 1011073
swhkd-doc-1.2.1-r0.apk 25-Oct-2024 19:10 6321
swi-prolog-9.2.9-r0.apk 21-Dec-2024 10:08 5001163
swi-prolog-doc-9.2.9-r0.apk 21-Dec-2024 10:08 2162685
swi-prolog-pyc-9.2.9-r0.apk 21-Dec-2024 10:08 22993
swi-prolog-xpce-9.2.9-r0.apk 21-Dec-2024 10:08 943913
swi-prolog-xpce-doc-9.2.9-r0.apk 21-Dec-2024 10:08 1092552
swig3-3.0.12-r3.apk 25-Oct-2024 19:10 1332176
swig3-doc-3.0.12-r3.apk 25-Oct-2024 19:10 3737
sxcs-1.1.0-r0.apk 25-Oct-2024 19:10 8870
sxcs-doc-1.1.0-r0.apk 25-Oct-2024 19:10 2703
sydbox-3.21.3-r0.apk 25-Oct-2024 19:10 1403591
sydbox-doc-3.21.3-r0.apk 25-Oct-2024 19:10 85909
sydbox-oci-3.21.3-r0.apk 25-Oct-2024 19:10 1842039
sydbox-utils-3.21.3-r0.apk 25-Oct-2024 19:10 6223877
sydbox-vim-3.21.3-r0.apk 25-Oct-2024 19:10 5352
sylpheed-imap-notify-1.1.0-r2.apk 25-Oct-2024 19:10 8829
symbiyosys-0.36-r0.apk 25-Oct-2024 19:10 38478
symengine-0.12.0-r0.apk 25-Oct-2024 19:10 3289286
sympow-2.023.7-r2.apk 25-Oct-2024 19:10 1880747
sympow-doc-2.023.7-r2.apk 25-Oct-2024 19:10 3158
syncthing-gtk-0.9.4.5-r2.apk 25-Oct-2024 19:10 450510
syncthing-gtk-doc-0.9.4.5-r2.apk 25-Oct-2024 19:10 2255
syncthing-gtk-pyc-0.9.4.5-r2.apk 25-Oct-2024 19:10 225894
systemd-boot-257.3-r0.apk 17-Feb-2025 15:08 47540
systemd-efistub-257.3-r0.apk 17-Feb-2025 15:08 80135
t2sz-1.1.2-r0.apk 25-Oct-2024 19:10 9199
tabby-3.1-r1.apk 25-Oct-2024 19:10 35587
tabby-doc-3.1-r1.apk 25-Oct-2024 19:10 2310
tabiew-0.7.1-r0.apk 25-Nov-2024 21:50 7121026
tachyon-0.99_beta6-r1.apk 25-Oct-2024 19:10 101071
tachyon-scenes-0.99_beta6-r1.apk 25-Oct-2024 19:10 2027060
tailspin-3.0.0-r0.apk 25-Oct-2024 19:10 1172529
tailspin-bash-completion-3.0.0-r0.apk 25-Oct-2024 19:10 2287
tailspin-doc-3.0.0-r0.apk 25-Oct-2024 19:10 2768
tailspin-fish-completion-3.0.0-r0.apk 25-Oct-2024 19:10 2112
tailspin-zsh-completion-3.0.0-r0.apk 25-Oct-2024 19:10 2524
tang-15-r0.apk 26-Jan-2025 06:27 16703
tang-dbg-15-r0.apk 26-Jan-2025 06:27 32743
tang-doc-15-r0.apk 26-Jan-2025 06:27 21062
tang-openrc-15-r0.apk 26-Jan-2025 06:27 1936
tangara-companion-0.4.3-r0.apk 17-Dec-2024 20:28 1017322
tangctl-0_git20241007-r1.apk 12-Feb-2025 17:34 2446451
tanidvr-1.4.1-r1.apk 25-Oct-2024 19:10 25389
tanidvr-dhav2mkv-1.4.1-r1.apk 25-Oct-2024 19:10 14316
tanka-0.31.2-r1.apk 12-Feb-2025 17:34 4332505
tartube-2.5.0-r1.apk 25-Oct-2024 19:10 2874303
tartube-pyc-2.5.0-r1.apk 25-Oct-2024 19:10 1123631
taskcafe-0.3.6-r10.apk 12-Feb-2025 17:34 13508307
taskcafe-openrc-0.3.6-r10.apk 12-Feb-2025 17:34 1798
tauri-cli-1.6.2-r0.apk 01-Nov-2024 00:45 4701009
tayga-0.9.2-r0.apk 25-Oct-2024 19:10 23498
tayga-doc-0.9.2-r0.apk 25-Oct-2024 19:10 5700
tcc-0.9.27_git20250106-r0.apk 02-Feb-2025 03:02 10836
tcc-dev-0.9.27_git20250106-r0.apk 02-Feb-2025 03:02 55013
tcc-doc-0.9.27_git20250106-r0.apk 02-Feb-2025 03:02 51005
tcc-libs-0.9.27_git20250106-r0.apk 02-Feb-2025 03:02 151952
tcc-libs-static-0.9.27_git20250106-r0.apk 02-Feb-2025 03:02 14430
tcl-curl-7.22.0-r0.apk 25-Oct-2024 19:10 31811
tcl-curl-doc-7.22.0-r0.apk 25-Oct-2024 19:10 38562
tcl9-9.0.1-r0.apk 22-Dec-2024 06:14 1911565
tcl9-dev-9.0.1-r0.apk 22-Dec-2024 06:14 187994
tcl9-doc-9.0.1-r0.apk 22-Dec-2024 06:14 1442515
tcmu-runner-1.6.0-r6.apk 25-Oct-2024 19:10 91419
tcmu-runner-doc-1.6.0-r6.apk 25-Oct-2024 19:10 2575
tcmu-runner-rbd-1.6.0-r6.apk 25-Oct-2024 19:10 13398
tdrop-0.5.0-r0.apk 25-Oct-2024 19:10 12107
tdrop-doc-0.5.0-r0.apk 25-Oct-2024 19:10 9066
tealdeer-1.7.1-r0.apk 14-Dec-2024 18:11 844021
tealdeer-bash-completion-1.7.1-r0.apk 14-Dec-2024 18:11 2016
tealdeer-fish-completion-1.7.1-r0.apk 14-Dec-2024 18:11 2264
tealdeer-zsh-completion-1.7.1-r0.apk 14-Dec-2024 18:11 2378
teapot-tools-0.4.2-r2.apk 25-Oct-2024 19:10 2064575
telegram-bot-api-8.3-r0.apk 22-Feb-2025 15:38 6838399
telegram-tdlib-1.8.45-r0.apk 18-Feb-2025 22:23 6908290
telegram-tdlib-dev-1.8.45-r0.apk 18-Feb-2025 22:23 182529
telegram-tdlib-static-1.8.45-r0.apk 18-Feb-2025 22:23 20918236
templ-0.3.833-r1.apk 12-Feb-2025 17:34 4655168
tenv-3.2.4-r4.apk 12-Feb-2025 17:34 9067851
tenv-bash-completion-3.2.4-r4.apk 12-Feb-2025 17:34 5124
tenv-fish-completion-3.2.4-r4.apk 12-Feb-2025 17:34 4362
tenv-zsh-completion-3.2.4-r4.apk 12-Feb-2025 17:34 4077
tere-1.6.0-r0.apk 25-Oct-2024 19:10 994726
tere-doc-1.6.0-r0.apk 25-Oct-2024 19:10 14324
termbox-1.1.2-r1.apk 25-Oct-2024 19:10 13057
termbox-dev-1.1.2-r1.apk 25-Oct-2024 19:10 5868
termbox-static-1.1.2-r1.apk 25-Oct-2024 19:10 13481
termcolor-2.1.0-r0.apk 25-Oct-2024 19:10 1502
termcolor-dev-2.1.0-r0.apk 25-Oct-2024 19:10 6998
terminalpp-0.8.4-r0.apk 25-Oct-2024 19:10 394640
terminalpp-ropen-0.8.4-r0.apk 25-Oct-2024 19:10 56581
termusic-mpv-0.7.11-r0.apk 25-Oct-2024 19:10 6243862
tetragon-client-1.1.2-r2.apk 12-Feb-2025 17:34 19774498
tetragon-client-bash-completion-1.1.2-r2.apk 12-Feb-2025 17:34 5132
tetragon-client-fish-completion-1.1.2-r2.apk 12-Feb-2025 17:34 4364
tetragon-client-zsh-completion-1.1.2-r2.apk 12-Feb-2025 17:34 4082
texlab-5.19.0-r0.apk 25-Oct-2024 19:10 8934834
texmaker-6.0.0-r0.apk 25-Oct-2024 19:11 12712330
texstudio-4.8.6-r0.apk 08-Feb-2025 16:24 86617040
tfupdate-0.8.2-r3.apk 12-Feb-2025 17:34 4645254
tfupdate-doc-0.8.2-r3.apk 12-Feb-2025 17:34 2260
thanos-0.31.0-r10.apk 12-Feb-2025 17:34 20671341
thanos-openrc-0.31.0-r10.apk 12-Feb-2025 17:34 1931
theforceengine-1.09.540-r1.apk 25-Oct-2024 19:11 7146891
theforceengine-doc-1.09.540-r1.apk 25-Oct-2024 19:11 6631412
thefuck-3.32-r5.apk 25-Oct-2024 19:11 85338
thefuck-pyc-3.32-r5.apk 25-Oct-2024 19:11 159462
thelounge-4.4.3-r0.apk 25-Oct-2024 19:11 29447860
thelounge-doc-4.4.3-r0.apk 25-Oct-2024 19:11 2357
thelounge-openrc-4.4.3-r0.apk 25-Oct-2024 19:11 2084
theme.sh-1.1.5-r0.apk 25-Oct-2024 19:11 40011
theme.sh-doc-1.1.5-r0.apk 25-Oct-2024 19:11 2374
throttled-0.10.0-r1.apk 15-Dec-2024 19:25 14904
throttled-openrc-0.10.0-r1.apk 15-Dec-2024 19:25 1644
throttled-pyc-0.10.0-r1.apk 15-Dec-2024 19:25 29048
thumbdrives-0.3.2-r2.apk 25-Oct-2024 19:11 11209
thunar-gtkhash-plugin-1.5-r0.apk 25-Oct-2024 19:11 23188
thunarx-python-0.5.2-r2.apk 25-Oct-2024 19:11 10121
thunarx-python-doc-0.5.2-r2.apk 25-Oct-2024 19:11 25811
tic-80-1.1.2837-r5.apk 27-Dec-2024 22:26 13833477
tick-1.2.2-r0.apk 14-Jan-2025 01:26 11412
tick-doc-1.2.2-r0.apk 14-Jan-2025 01:26 5596
ticker-4.8.0-r1.apk 12-Feb-2025 17:34 3857704
ticker-bash-completion-4.8.0-r1.apk 12-Feb-2025 17:34 4619
ticker-fish-completion-4.8.0-r1.apk 12-Feb-2025 17:34 3911
ticker-zsh-completion-4.8.0-r1.apk 12-Feb-2025 17:34 3750
timeshift-24.06.5-r0.apk 05-Dec-2024 20:47 467995
timeshift-doc-24.06.5-r0.apk 05-Dec-2024 20:47 3181
timeshift-lang-24.06.5-r0.apk 05-Dec-2024 20:47 938678
timew-1.4.3-r1.apk 25-Oct-2024 19:11 255487
timew-bash-completion-1.4.3-r1.apk 25-Oct-2024 19:11 2828
timew-doc-1.4.3-r1.apk 25-Oct-2024 19:11 54422
timewarrior-1.7.1-r0.apk 25-Oct-2024 19:11 269671
timewarrior-doc-1.7.1-r0.apk 25-Oct-2024 19:11 22732
timoni-0.23.0-r2.apk 12-Feb-2025 17:34 22304108
timoni-bash-completion-0.23.0-r2.apk 12-Feb-2025 17:34 8084
timoni-doc-0.23.0-r2.apk 12-Feb-2025 17:34 345945
timoni-fish-completion-0.23.0-r2.apk 12-Feb-2025 17:34 4356
timoni-zsh-completion-0.23.0-r2.apk 12-Feb-2025 17:34 4075
tintin-2.02.31-r0.apk 25-Oct-2024 19:11 1938495
tiny-0.13.0-r0.apk 01-Jan-2025 18:10 536458
tiny-doc-0.13.0-r0.apk 01-Jan-2025 18:10 5606
tinycbor-0.6.0-r1.apk 25-Oct-2024 19:11 15587
tinycbor-dev-0.6.0-r1.apk 25-Oct-2024 19:11 8569
tinygltf-2.9.4-r0.apk 26-Jan-2025 06:27 141351
tinygltf-dev-2.9.4-r0.apk 26-Jan-2025 06:27 58553
tinymist-0.13.0-r0.apk 23-Feb-2025 20:41 17442382
tinyscheme-1.42-r1.apk 25-Oct-2024 19:11 59714
tk9-9.0.1-r0.apk 22-Dec-2024 06:14 844867
tk9-dev-9.0.1-r0.apk 22-Dec-2024 06:14 83429
tk9-doc-9.0.1-r0.apk 22-Dec-2024 06:14 1388532
tldr-python-client-3.3.0-r0.apk 01-Dec-2024 17:09 12405
tldr-python-client-doc-3.3.0-r0.apk 01-Dec-2024 17:09 3590
tldr-python-client-pyc-3.3.0-r0.apk 01-Dec-2024 17:09 14514
tmate-2.4.0-r4.apk 25-Oct-2024 19:11 271250
tmate-doc-2.4.0-r4.apk 25-Oct-2024 19:11 73443
tmpl-0.4.0-r8.apk 12-Feb-2025 17:34 2466971
tmpl-doc-0.4.0-r8.apk 12-Feb-2025 17:34 2239
tmpmail-1.2.3-r2.apk 25-Oct-2024 19:11 7194
tmpmail-doc-1.2.3-r2.apk 25-Oct-2024 19:11 3325
tmux-resurrect-4.0.0-r0.apk 25-Oct-2024 19:11 14183
tmux-resurrect-doc-4.0.0-r0.apk 25-Oct-2024 19:11 8593
tncattach-0.1.9-r1.apk 25-Oct-2024 19:11 22754
tncattach-doc-0.1.9-r1.apk 25-Oct-2024 19:11 3997
tnef-1.4.18-r0.apk 25-Oct-2024 19:11 25861
tnef-doc-1.4.18-r0.apk 25-Oct-2024 19:11 4320
toapk-1.0-r0.apk 25-Oct-2024 19:11 11000
today-6.2.0-r0.apk 25-Oct-2024 19:11 3216
today-doc-6.2.0-r0.apk 25-Oct-2024 19:11 3354
tofutf-0.10.0-r2.apk 12-Feb-2025 17:34 1234
tofutf-agent-0.10.0-r2.apk 12-Feb-2025 17:34 8917763
tofutf-agent-openrc-0.10.0-r2.apk 12-Feb-2025 17:34 1976
tofutf-cli-0.10.0-r2.apk 12-Feb-2025 17:34 8229329
tofutf-server-0.10.0-r2.apk 12-Feb-2025 17:34 13182533
tofutf-server-openrc-0.10.0-r2.apk 12-Feb-2025 17:34 1979
tomcat9-9.0.98-r1.apk 17-Feb-2025 15:08 7037318
tomcat9-admin-9.0.98-r1.apk 17-Feb-2025 15:08 121392
tomcat9-doc-9.0.98-r1.apk 17-Feb-2025 15:08 1588314
tomcat9-examples-9.0.98-r1.apk 17-Feb-2025 15:08 449002
tomcat9-openrc-9.0.98-r1.apk 17-Feb-2025 15:08 4645
toml2json-1.3.1-r0.apk 25-Oct-2024 19:11 351900
toml2json-doc-1.3.1-r0.apk 25-Oct-2024 19:11 3381
tonutils-reverse-proxy-0.3.3-r2.apk 12-Feb-2025 17:34 2772807
tonutils-reverse-proxy-doc-0.3.3-r2.apk 12-Feb-2025 17:34 2393
tootik-0.15.2-r1.apk 12-Feb-2025 17:34 3827374
tootik-openrc-0.15.2-r1.apk 12-Feb-2025 17:34 3136
topgit-0.19.13-r1.apk 25-Oct-2024 19:11 129693
topgit-bash-completion-0.19.13-r1.apk 25-Oct-2024 19:11 4123
topgit-doc-0.19.13-r1.apk 25-Oct-2024 19:11 75184
torrent-file-editor-0.3.18-r0.apk 25-Oct-2024 19:11 374853
toss-1.1-r0.apk 25-Oct-2024 19:11 10498
toybox-0.8.11-r1.apk 25-Oct-2024 19:11 292393
tpm2-pkcs11-1.9.1-r0.apk 25-Oct-2024 19:11 132382
tpm2-pkcs11-dev-1.9.1-r0.apk 25-Oct-2024 19:11 1921
tpm2-pkcs11-pyc-1.9.1-r0.apk 25-Oct-2024 19:11 70580
tpp-bypass-0.8.4-r0.apk 25-Oct-2024 19:11 12913
trace-cmd-3.3.1-r1.apk 26-Jan-2025 06:27 168373
trace-cmd-bash-completion-3.3.1-r1.apk 26-Jan-2025 06:27 3431
trace-cmd-dbg-3.3.1-r1.apk 26-Jan-2025 06:27 518970
trace-cmd-doc-3.3.1-r1.apk 26-Jan-2025 06:27 175376
transito-0.9.1-r2.apk 12-Feb-2025 17:34 8371237
transito-doc-0.9.1-r2.apk 12-Feb-2025 17:34 773049
transmission-remote-gtk-1.6.0-r0.apk 25-Oct-2024 19:11 147907
transmission-remote-gtk-doc-1.6.0-r0.apk 25-Oct-2024 19:11 4326
transmission-remote-gtk-lang-1.6.0-r0.apk 25-Oct-2024 19:11 108549
trantor-1.5.18-r0.apk 25-Oct-2024 19:11 223651
trantor-dev-1.5.18-r0.apk 25-Oct-2024 19:11 34818
trantor-doc-1.5.18-r0.apk 25-Oct-2024 19:11 2673
tre-0.8.0-r2.apk 25-Oct-2024 19:11 26670
tre-dev-0.8.0-r2.apk 25-Oct-2024 19:11 5301
tre-static-0.8.0-r2.apk 25-Oct-2024 19:11 28701
tree-sitter-c-sharp-0.23.1-r1.apk 26-Feb-2025 17:29 352749
tree-sitter-caddy-0_git20230322-r0.apk 25-Oct-2024 19:11 72070
tree-sitter-caddy-doc-0_git20230322-r0.apk 25-Oct-2024 19:11 2340
tree-sitter-clojure-0.0.12-r0.apk 25-Oct-2024 19:11 23592
tree-sitter-dart-0_git20230123-r1.apk 25-Oct-2024 19:11 94388
tree-sitter-git-commit-0_git20211225-r3.apk 26-Feb-2025 17:29 13227
tree-sitter-git-diff-0_git20230730-r0.apk 25-Oct-2024 19:11 10656
tree-sitter-git-rebase-0_git20220110-r3.apk 26-Feb-2025 17:29 7209
tree-sitter-gleam-1.0.0-r0.apk 25-Oct-2024 19:11 43257
tree-sitter-hare-0_git20230616-r1.apk 25-Oct-2024 19:11 35246
tree-sitter-haskell-0.23.1-r0.apk 09-Jan-2025 16:04 290511
tree-sitter-hcl-1.1.0-r1.apk 25-Oct-2024 19:11 47263
tree-sitter-just-0_git20230318-r0.apk 25-Oct-2024 19:11 15414
tree-sitter-kotlin-0.3.8-r0.apk 25-Oct-2024 19:11 323775
tree-sitter-make-0_git20211216-r2.apk 25-Oct-2024 19:11 42893
tree-sitter-nix-0.0.2-r0.apk 02-Feb-2025 03:07 22371
tree-sitter-nix-doc-0.0.2-r0.apk 02-Feb-2025 03:07 2247
tree-sitter-pascal-0.9.1-r0.apk 25-Oct-2024 19:11 83009
tree-sitter-pascal-doc-0.9.1-r0.apk 25-Oct-2024 19:11 2324
tree-sitter-query-0.4.0-r0.apk 10-Jan-2025 18:42 11388
tree-sitter-query-doc-0.4.0-r0.apk 10-Jan-2025 18:42 6104
tree-sitter-ron-0.2.0-r0.apk 25-Oct-2024 19:11 32175
tree-sitter-scheme-0.23.0-r0.apk 25-Oct-2024 19:11 23183
tree-sitter-scheme-doc-0.23.0-r0.apk 25-Oct-2024 19:11 2290
tree-sitter-ssh-client-config-2025.2.27-r0.apk 27-Feb-2025 18:26 83974
tree-sitter-xml-0.7.0-r0.apk 14-Nov-2024 13:09 33470
tree-sitter-xml-doc-0.7.0-r0.apk 14-Nov-2024 13:09 2251
treecat-1.0.2_git20240706-r1.apk 29-Nov-2024 12:12 146554
treecat-doc-1.0.2_git20240706-r1.apk 29-Nov-2024 12:12 3363
tremc-0.9.3-r0.apk 25-Oct-2024 19:11 49363
tremc-bash-completion-0.9.3-r0.apk 25-Oct-2024 19:11 1725
tremc-doc-0.9.3-r0.apk 25-Oct-2024 19:11 2680
tremc-zsh-completion-0.9.3-r0.apk 25-Oct-2024 19:11 1644
trigger-rally-0.6.7-r2.apk 25-Oct-2024 19:11 304047
trigger-rally-data-0.6.7-r2.apk 25-Oct-2024 19:11 369156390
trigger-rally-doc-0.6.7-r2.apk 25-Oct-2024 19:11 29091
trippy-0.12.2-r0.apk 04-Jan-2025 22:22 1848357
trippy-bash-completion-0.12.2-r0.apk 04-Jan-2025 22:22 3184
trippy-zsh-completion-0.12.2-r0.apk 04-Jan-2025 22:22 4785
trivy-0.59.1-r1.apk 12-Feb-2025 17:34 60347447
tsung-1.8.0-r2.apk 25-Oct-2024 19:11 747693
ttdl-4.8.0-r0.apk 01-Feb-2025 16:09 890372
ttdl-doc-4.8.0-r0.apk 01-Feb-2025 16:09 38061
ttfautohint-1.8.4-r0.apk 25-Oct-2024 19:11 29659
ttfautohint-dev-1.8.4-r0.apk 25-Oct-2024 19:11 162497
ttfautohint-doc-1.8.4-r0.apk 25-Oct-2024 19:11 8290
ttfautohint-gui-1.8.4-r0.apk 25-Oct-2024 19:11 60411
ttfautohint-libs-1.8.4-r0.apk 25-Oct-2024 19:11 110434
tty-clock-2.3_git20240104-r0.apk 25-Oct-2024 19:11 8845
tty-clock-doc-2.3_git20240104-r0.apk 25-Oct-2024 19:11 3190
tty-proxy-0.0.2-r25.apk 12-Feb-2025 17:34 2442015
tty-share-2.4.0-r15.apk 12-Feb-2025 17:34 3516162
ttyper-1.6.0-r0.apk 05-Feb-2025 21:45 572488
tui-journal-0.10.0-r0.apk 25-Oct-2024 19:11 1931341
tui-journal-doc-0.10.0-r0.apk 25-Oct-2024 19:11 7062
tuned-2.24.1-r1.apk 27-Jan-2025 15:48 156603
tuned-bash-completion-2.24.1-r1.apk 27-Jan-2025 15:48 1906
tuned-doc-2.24.1-r1.apk 27-Jan-2025 15:48 68972
tuned-gtk-2.24.1-r1.apk 27-Jan-2025 15:48 22442
tuned-openrc-2.24.1-r1.apk 27-Jan-2025 15:48 1676
tuned-ppd-2.24.1-r1.apk 27-Jan-2025 15:48 3635
tuned-ppd-openrc-2.24.1-r1.apk 27-Jan-2025 15:48 1737
tuned-profiles-2.24.1-r1.apk 27-Jan-2025 15:48 8257
tuned-profiles-compat-2.24.1-r1.apk 27-Jan-2025 15:48 3599
tuned-pyc-2.24.1-r1.apk 27-Jan-2025 15:48 327140
tuned-utils-2.24.1-r1.apk 27-Jan-2025 15:48 10736
tup-0.7.11-r0.apk 25-Oct-2024 19:11 238966
tup-doc-0.7.11-r0.apk 25-Oct-2024 19:11 21360
tup-vim-0.7.11-r0.apk 25-Oct-2024 19:11 2633
tuptime-5.2.4-r1.apk 28-Nov-2024 22:44 14183
tuptime-doc-5.2.4-r1.apk 28-Nov-2024 22:44 3837
tuptime-openrc-5.2.4-r1.apk 28-Nov-2024 22:44 1731
turn-rs-3.3.3-r0.apk 07-Jan-2025 06:27 523059
turn-rs-doc-3.3.3-r0.apk 07-Jan-2025 06:27 11019
turn-rs-openrc-3.3.3-r0.apk 07-Jan-2025 06:27 1949
turnstile-0.1.10-r3.apk 25-Oct-2024 19:11 38894
turnstile-doc-0.1.10-r3.apk 25-Oct-2024 19:11 5836
turnstile-openrc-0.1.10-r3.apk 25-Oct-2024 19:11 1846
twemproxy-0.5.0-r0.apk 25-Oct-2024 19:11 69086
twemproxy-doc-0.5.0-r0.apk 25-Oct-2024 19:11 17616
twiggy-0.6.0-r3.apk 25-Oct-2024 19:11 782512
twinkle-1.10.3-r3.apk 04-Jan-2025 21:55 2485015
twinkle-doc-1.10.3-r3.apk 04-Jan-2025 21:55 3580
typlite-0.13.0-r0.apk 23-Feb-2025 20:41 13393671
typos-1.23.2-r0.apk 25-Oct-2024 19:11 3905912
typos-doc-1.23.2-r0.apk 25-Oct-2024 19:11 6271
typstyle-0.12.14-r0.apk 12-Jan-2025 13:52 486227
u-boot-s5p6818-1.2-r0.apk 25-Oct-2024 19:11 179339
u1db-qt-0.1.8-r0.apk 25-Oct-2024 19:11 99756
uasm-2.56.2-r0.apk 25-Oct-2024 19:11 297565
ubase-20200605-r3.apk 25-Oct-2024 19:11 45335
ubase-doc-20200605-r3.apk 25-Oct-2024 19:11 21746
ubuntu-archive-keyring-2023.11.28.1-r0.apk 25-Oct-2024 19:11 16549
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk 25-Oct-2024 19:11 2337
uclient-20210514-r0.apk 25-Oct-2024 19:11 12654
uclient-dev-20210514-r0.apk 25-Oct-2024 19:11 3266
uclient-fetch-20210514-r0.apk 25-Oct-2024 19:11 9565
udpt-3.1.2-r0.apk 25-Oct-2024 19:11 689193
udpt-openrc-3.1.2-r0.apk 25-Oct-2024 19:11 1843
ueberzug-18.3.1-r0.apk 22-Feb-2025 16:07 66866
ueberzug-pyc-18.3.1-r0.apk 22-Feb-2025 16:07 65296
ukify-257.3-r0.apk 17-Feb-2025 15:08 21673
undock-0.9.0-r2.apk 12-Feb-2025 17:34 9855137
unicorn-2.0.1-r4.apk 25-Oct-2024 19:11 6856760
unicorn-dev-2.0.1-r4.apk 25-Oct-2024 19:11 8156399
unit-php81-1.34.1-r0.apk 11-Jan-2025 19:59 32430
up-0.4-r26.apk 12-Feb-2025 17:34 1124317
upterm-0.14.3-r2.apk 12-Feb-2025 17:34 5840834
upterm-bash-completion-0.14.3-r2.apk 12-Feb-2025 17:34 5600
upterm-doc-0.14.3-r2.apk 12-Feb-2025 17:34 6479
upterm-server-0.14.3-r2.apk 12-Feb-2025 17:34 5686554
upterm-server-openrc-0.14.3-r2.apk 12-Feb-2025 17:34 1860
upterm-zsh-completion-0.14.3-r2.apk 12-Feb-2025 17:34 4073
uranium-5.2.2-r3.apk 25-Oct-2024 19:11 609835
urlwatch-2.28-r2.apk 25-Oct-2024 19:11 49877
urlwatch-doc-2.28-r2.apk 25-Oct-2024 19:11 33987
urlwatch-pyc-2.28-r2.apk 25-Oct-2024 19:11 103816
usbmuxd-1.1.1-r8.apk 30-Oct-2024 22:44 35268
usbmuxd-doc-1.1.1-r8.apk 30-Oct-2024 22:44 3008
usql-0.15.6-r9.apk 12-Feb-2025 17:34 29300890
ustr-1.0.4-r1.apk 25-Oct-2024 19:11 59549
ustr-debug-1.0.4-r1.apk 25-Oct-2024 19:11 75020
ustr-dev-1.0.4-r1.apk 25-Oct-2024 19:11 93644
ustr-doc-1.0.4-r1.apk 25-Oct-2024 19:11 98898
ustr-static-1.0.4-r1.apk 25-Oct-2024 19:11 170351
ustream-ssl-20220116-r1.apk 25-Oct-2024 19:11 6937
ustream-ssl-dev-20220116-r1.apk 25-Oct-2024 19:11 2629
utop-2.9.1-r4.apk 25-Oct-2024 19:11 13926246
utop-common-2.9.1-r4.apk 25-Oct-2024 19:11 2092
utop-doc-2.9.1-r4.apk 25-Oct-2024 19:11 5882
utop-emacs-2.9.1-r4.apk 25-Oct-2024 19:11 13142
utop-full-2.9.1-r4.apk 25-Oct-2024 19:11 13930710
uucp-1.07-r6.apk 25-Oct-2024 19:11 498246
uucp-doc-1.07-r6.apk 25-Oct-2024 19:11 120933
uxn-1.0-r0.apk 25-Oct-2024 19:11 43301
uxn-doc-1.0-r0.apk 25-Oct-2024 19:11 4281
uxplay-1.71.1-r0.apk 26-Jan-2025 06:27 222449
uxplay-doc-1.71.1-r0.apk 26-Jan-2025 06:27 4442
vals-0.39.0-r1.apk 12-Feb-2025 17:34 28075715
varnish-modules-0.24.0-r0.apk 25-Oct-2024 19:11 55011
varnish-modules-doc-0.24.0-r0.apk 25-Oct-2024 19:11 21854
vbindiff-3.0_beta5-r1.apk 25-Oct-2024 19:11 19834
vbindiff-doc-3.0_beta5-r1.apk 25-Oct-2024 19:11 5576
vcdimager-2.0.1-r5.apk 26-Jan-2025 06:27 482493
vcdimager-dev-2.0.1-r5.apk 26-Jan-2025 06:27 127598
vcdimager-doc-2.0.1-r5.apk 26-Jan-2025 06:27 76071
vcmi-1.6.6-r0.apk 26-Feb-2025 20:30 13262991
vcsh-2.0.5-r0.apk 25-Oct-2024 19:11 9002
vcsh-bash-completion-2.0.5-r0.apk 25-Oct-2024 19:11 2988
vcsh-doc-2.0.5-r0.apk 25-Oct-2024 19:11 27844
vcsh-zsh-completion-2.0.5-r0.apk 25-Oct-2024 19:11 2997
vcstool-0.3.0-r5.apk 25-Oct-2024 19:11 35636
vcstool-bash-completion-0.3.0-r5.apk 25-Oct-2024 19:11 1800
vcstool-pyc-0.3.0-r5.apk 25-Oct-2024 19:11 59107
vcstool-tcsh-completion-0.3.0-r5.apk 25-Oct-2024 19:11 1674
vcstool-zsh-completion-0.3.0-r5.apk 25-Oct-2024 19:11 1766
vector-0.39.0-r0.apk 25-Oct-2024 19:11 19558172
vector-doc-0.39.0-r0.apk 25-Oct-2024 19:11 5930
vector-openrc-0.39.0-r0.apk 25-Oct-2024 19:11 2265
vectoroids-1.1.0-r2.apk 25-Oct-2024 19:11 287931
vectoroids-doc-1.1.0-r2.apk 25-Oct-2024 19:11 2352
venc-3.2.5-r0.apk 04-Feb-2025 21:47 254167
venc-pyc-3.2.5-r0.apk 04-Feb-2025 21:47 129650
vera++-1.3.0-r10.apk 25-Oct-2024 19:11 260160
vfd-configurations-0_git20230612-r0.apk 25-Oct-2024 19:11 25387
vice-3.9-r0.apk 24-Feb-2025 21:33 13532388
vice-doc-3.9-r0.apk 24-Feb-2025 21:33 2303992
vidcutter-6.0.5.3-r0.apk 25-Oct-2024 19:11 2925002
vidcutter-doc-6.0.5.3-r0.apk 25-Oct-2024 19:11 24778
vidcutter-pyc-6.0.5.3-r0.apk 25-Oct-2024 19:11 1996674
video-trimmer-0.9.0-r0.apk 25-Oct-2024 19:11 761152
video-trimmer-lang-0.9.0-r0.apk 25-Oct-2024 19:11 93046
viewnior-1.8-r1.apk 25-Oct-2024 19:11 75315
viewnior-doc-1.8-r1.apk 25-Oct-2024 19:11 2149
viewnior-lang-1.8-r1.apk 25-Oct-2024 19:11 87189
vile-9.8z-r0.apk 25-Oct-2024 19:11 2264551
vile-doc-9.8z-r0.apk 25-Oct-2024 19:11 15355
vim-airline-0.11-r0.apk 25-Oct-2024 19:11 88464
vim-airline-doc-0.11-r0.apk 25-Oct-2024 19:11 12419
vim-rust-305-r0.apk 25-Oct-2024 19:11 21015
virtctl-1.4.0-r2.apk 12-Feb-2025 17:34 15016897
virtctl-bash-completion-1.4.0-r2.apk 12-Feb-2025 17:34 5182
virtctl-fish-completion-1.4.0-r2.apk 12-Feb-2025 17:34 4364
virtctl-zsh-completion-1.4.0-r2.apk 12-Feb-2025 17:34 4077
virter-0.28.1-r2.apk 12-Feb-2025 17:34 5650812
virter-bash-completion-0.28.1-r2.apk 12-Feb-2025 17:34 5132
virter-doc-0.28.1-r2.apk 12-Feb-2025 17:34 15170
virter-fish-completion-0.28.1-r2.apk 12-Feb-2025 17:34 4367
virter-zsh-completion-0.28.1-r2.apk 12-Feb-2025 17:34 4086
virtme-ng-1.32-r1.apk 26-Jan-2025 06:27 199225
virtme-ng-bash-completion-1.32-r1.apk 26-Jan-2025 06:27 2687
visidata-2.11.1-r2.apk 25-Oct-2024 19:11 254324
visidata-doc-2.11.1-r2.apk 25-Oct-2024 19:11 16243
visidata-pyc-2.11.1-r2.apk 25-Oct-2024 19:11 509342
visidata-zsh-completion-2.11.1-r2.apk 25-Oct-2024 19:11 7343
vit-2.3.2-r1.apk 25-Oct-2024 19:11 82409
vit-pyc-2.3.2-r1.apk 25-Oct-2024 19:11 155115
vixl-7.0.0-r0.apk 25-Oct-2024 19:11 858020
vixl-dev-7.0.0-r0.apk 25-Oct-2024 19:11 351269
vkbasalt-0.3.2.10-r0.apk 25-Oct-2024 19:11 406947
vkbasalt-doc-0.3.2.10-r0.apk 25-Oct-2024 19:11 3150
vlang-0.4.9-r0.apk 12-Jan-2025 11:37 29681656
vmtouch-1.3.1-r0.apk 25-Oct-2024 19:11 12251
vmtouch-doc-1.3.1-r0.apk 25-Oct-2024 19:11 8229
voikko-fi-2.5-r0.apk 25-Oct-2024 19:11 1638403
volatility3-2.11.0-r0.apk 13-Feb-2025 07:42 576005
volatility3-doc-2.11.0-r0.apk 13-Feb-2025 07:42 3155
volatility3-pyc-2.11.0-r0.apk 13-Feb-2025 07:42 1084159
volumeicon-0.5.1-r1.apk 25-Oct-2024 19:11 43459
volumeicon-lang-0.5.1-r1.apk 25-Oct-2024 19:11 3849
vtable-dumper-1.2-r0.apk 26-Jan-2025 06:27 6432
vym-2.9.26-r0.apk 25-Oct-2024 19:11 2917167
vym-doc-2.9.26-r0.apk 25-Oct-2024 19:11 3555374
w_scan2-1.0.16-r0.apk 17-Feb-2025 15:08 135349
w_scan2-doc-1.0.16-r0.apk 17-Feb-2025 15:08 4191
wabt-1.0.36-r0.apk 25-Oct-2024 19:11 4013637
wabt-doc-1.0.36-r0.apk 25-Oct-2024 19:11 14028
waifu2x-converter-cpp-5.3.4-r8.apk 16-Jan-2025 22:09 12155549
wakeonlan-0.42-r0.apk 25-Oct-2024 19:11 4611
wakeonlan-doc-0.42-r0.apk 25-Oct-2024 19:11 7696
walk-1.10.0-r2.apk 12-Feb-2025 17:34 1328239
walk-sor-0_git20190920-r1.apk 25-Oct-2024 19:11 5834
walk-sor-doc-0_git20190920-r1.apk 25-Oct-2024 19:11 7958
wamrc-2.2.0-r0.apk 05-Dec-2024 16:06 433766
warp-s3-1.0.8-r1.apk 12-Feb-2025 17:34 6473306
warpinator-1.8.8-r0.apk 05-Feb-2025 22:14 220851
warpinator-lang-1.8.8-r0.apk 05-Feb-2025 22:14 227504
warpinator-nemo-1.8.8-r0.apk 05-Feb-2025 22:14 4208
warzone2100-4.5.5-r2.apk 28-Jan-2025 23:07 6222383
warzone2100-data-4.5.5-r2.apk 28-Jan-2025 23:07 343970891
warzone2100-doc-4.5.5-r2.apk 28-Jan-2025 23:07 1585472
warzone2100-lang-4.5.5-r2.apk 28-Jan-2025 23:07 2695584
wasm-micro-runtime-2.2.0-r0.apk 05-Dec-2024 16:06 1235
wasm-tools-1.225.0-r0.apk 24-Feb-2025 07:48 2889798
wasm-tools-doc-1.225.0-r0.apk 24-Feb-2025 07:48 7226
wasmtime-28.0.1-r0.apk 26-Jan-2025 06:27 6157938
wasmtime-dev-28.0.1-r0.apk 26-Jan-2025 06:27 54832
watchbind-0.2.1-r1.apk 25-Oct-2024 19:11 1049300
watchbind-doc-0.2.1-r1.apk 25-Oct-2024 19:11 6721
watchdog-5.16-r2.apk 25-Oct-2024 19:11 49163
watchdog-doc-5.16-r2.apk 25-Oct-2024 19:11 14562
watchmate-0.5.2-r0.apk 25-Oct-2024 19:11 2293626
watershot-0.2.0-r0.apk 25-Oct-2024 19:11 1724820
way-displays-1.8.1-r2.apk 25-Oct-2024 19:11 77822
way-displays-doc-1.8.1-r2.apk 25-Oct-2024 19:11 4203
waycheck-1.6.0-r0.apk 23-Feb-2025 22:30 43870
wayfire-0.9.0-r0.apk 27-Feb-2025 20:17 2604520
wayfire-dev-0.9.0-r0.apk 27-Feb-2025 20:17 132910
wayfire-doc-0.9.0-r0.apk 27-Feb-2025 20:17 3727
wayfire-plugins-extra-0.9.0-r0.apk 27-Feb-2025 20:17 579406
waylevel-1.0.0-r1.apk 25-Oct-2024 19:11 296872
waylock-1.3.0-r0.apk 11-Nov-2024 00:03 107931
waylock-doc-1.3.0-r0.apk 11-Nov-2024 00:03 3300
waynergy-0.0.17-r0.apk 25-Oct-2024 19:11 55234
wayqt-0.2.0-r0.apk 25-Oct-2024 19:11 107969
wayqt-dev-0.2.0-r0.apk 25-Oct-2024 19:11 18260
wazero-1.6.0-r7.apk 12-Feb-2025 17:34 2221391
wbg-1.2.0-r0.apk 25-Oct-2024 19:11 39645
wch-isp-0.4.1-r2.apk 25-Oct-2024 19:11 10871
wch-isp-doc-0.4.1-r2.apk 25-Oct-2024 19:11 2723
wch-isp-udev-rules-0.4.1-r2.apk 25-Oct-2024 19:11 1686
wcm-0.9.0-r0.apk 27-Feb-2025 20:17 374678
webhookd-1.20.1-r1.apk 12-Feb-2025 17:34 3066727
webhookd-doc-1.20.1-r1.apk 12-Feb-2025 17:34 2252
webhookd-openrc-1.20.1-r1.apk 12-Feb-2025 17:34 2235
weggli-0.2.4-r1.apk 25-Oct-2024 19:11 832077
welle-cli-2.6-r0.apk 21-Dec-2024 22:25 309624
welle-io-2.6-r0.apk 21-Dec-2024 22:25 398169
welle-io-doc-2.6-r0.apk 21-Dec-2024 22:25 4074
wf-config-0.9.0-r0.apk 27-Feb-2025 20:17 108368
wf-config-dev-0.9.0-r0.apk 27-Feb-2025 20:17 16647
wf-shell-0.9.0-r0.apk 27-Feb-2025 20:17 6377549
wf-shell-dev-0.9.0-r0.apk 27-Feb-2025 20:17 1690
wf-shell-doc-0.9.0-r0.apk 27-Feb-2025 20:17 3156
wgcf-2.2.24-r2.apk 12-Feb-2025 17:34 3857038
wgcf-bash-completion-2.2.24-r2.apk 12-Feb-2025 17:34 5120
wgcf-fish-completion-2.2.24-r2.apk 12-Feb-2025 17:34 4355
wgcf-zsh-completion-2.2.24-r2.apk 12-Feb-2025 17:34 4075
whatsie-4.16.3-r0.apk 12-Jan-2025 13:30 16024469
whatsie-doc-4.16.3-r0.apk 12-Jan-2025 13:30 2254
whipper-0.10.0-r5.apk 25-Oct-2024 19:11 116216
whipper-pyc-0.10.0-r5.apk 25-Oct-2024 19:11 189495
wiki-tui-0.8.2-r1.apk 25-Oct-2024 19:11 1471146
wiki-tui-doc-0.8.2-r1.apk 25-Oct-2024 19:11 4716
wireguard-go-0.0.20230223-r9.apk 12-Feb-2025 17:34 1135717
wireguard-go-doc-0.0.20230223-r9.apk 12-Feb-2025 17:34 3580
wiremapper-0.10.0-r0.apk 25-Oct-2024 19:11 22167
wiringx-0_git20240317-r1.apk 25-Oct-2024 19:11 59842
wiringx-dev-0_git20240317-r1.apk 25-Oct-2024 19:11 86182
witchery-0.0.3-r2.apk 25-Oct-2024 19:11 3277
wk-adblock-0.0.4-r5.apk 25-Oct-2024 19:11 163976
wk-adblock-doc-0.0.4-r5.apk 25-Oct-2024 19:11 2145
wl-clipboard-x11-5-r3.apk 25-Oct-2024 19:11 3497
wl-clipboard-x11-doc-5-r3.apk 25-Oct-2024 19:11 3006
wl-gammarelay-0.1.1-r11.apk 12-Feb-2025 17:34 1565670
wlavu-0_git20201101-r1.apk 25-Oct-2024 19:11 13066
wlclock-1.0.1-r0.apk 25-Oct-2024 19:11 16511
wlclock-doc-1.0.1-r0.apk 25-Oct-2024 19:11 3369
wlopm-0.1.0-r0.apk 25-Oct-2024 19:11 6582
wlopm-doc-0.1.0-r0.apk 25-Oct-2024 19:11 2063
wlrobs-1.0-r4.apk 25-Oct-2024 19:11 18939
wlroots0.12-0.12.0-r1.apk 25-Oct-2024 19:11 242241
wlroots0.12-dbg-0.12.0-r1.apk 25-Oct-2024 19:11 1016628
wlroots0.12-dev-0.12.0-r1.apk 25-Oct-2024 19:11 62146
wlroots0.15-0.15.1-r6.apk 25-Oct-2024 19:11 297623
wlroots0.15-dbg-0.15.1-r6.apk 25-Oct-2024 19:11 1263429
wlroots0.15-dev-0.15.1-r6.apk 25-Oct-2024 19:11 72129
wlroots0.16-0.16.2-r0.apk 28-Oct-2024 13:47 343565
wlroots0.16-dbg-0.16.2-r0.apk 28-Oct-2024 13:47 1326980
wlroots0.16-dev-0.16.2-r0.apk 28-Oct-2024 13:47 72341
wlroots0.17-0.17.4-r1.apk 24-Nov-2024 07:46 378375
wlroots0.17-dbg-0.17.4-r1.apk 24-Nov-2024 07:46 1496803
wlroots0.17-dev-0.17.4-r1.apk 24-Nov-2024 07:46 79226
wmctrl-1.07-r1.apk 25-Oct-2024 19:11 13681
wmctrl-doc-1.07-r1.apk 25-Oct-2024 19:11 5221
wok-3.0.0-r6.apk 25-Oct-2024 19:11 160359
wok-doc-3.0.0-r6.apk 25-Oct-2024 19:11 3757
wok-lang-3.0.0-r6.apk 25-Oct-2024 19:11 16425
wok-pyc-3.0.0-r6.apk 25-Oct-2024 19:11 121524
wol-0.7.1-r3.apk 25-Oct-2024 19:11 26357
wol-doc-0.7.1-r3.apk 25-Oct-2024 19:11 5654
wol-lang-0.7.1-r3.apk 25-Oct-2024 19:11 8352
wolfssh-1.4.17-r0.apk 25-Oct-2024 19:11 137936
wolfssh-dev-1.4.17-r0.apk 25-Oct-2024 19:11 175034
wordgrinder-0.8-r2.apk 25-Oct-2024 19:11 487015
wordgrinder-doc-0.8-r2.apk 25-Oct-2024 19:11 18798
wpa_actiond-1.4-r7.apk 25-Oct-2024 19:11 10235
wpa_actiond-openrc-1.4-r7.apk 25-Oct-2024 19:11 2271
wput-0.6.2-r4.apk 25-Oct-2024 19:11 39249
wput-doc-0.6.2-r4.apk 25-Oct-2024 19:11 8443
wroomd-0.1.0-r0.apk 25-Oct-2024 19:11 1004548
wroomd-openrc-0.1.0-r0.apk 25-Oct-2024 19:11 1743
wshowkeys-1.0-r0.apk 25-Oct-2024 19:11 14299
wsmancli-2.6.2-r0.apk 25-Oct-2024 19:11 20481
wsmancli-doc-2.6.2-r0.apk 25-Oct-2024 19:11 3789
wtfutil-0.43.0-r10.apk 12-Feb-2025 17:34 19108839
x11docker-7.6.0-r1.apk 25-Oct-2024 19:11 116164
x11docker-doc-7.6.0-r1.apk 25-Oct-2024 19:11 9633
xa-2.4.1-r0.apk 25-Feb-2025 12:36 81289
xa-doc-2.4.1-r0.apk 25-Feb-2025 12:36 17612
xandikos-0.2.12-r2.apk 17-Feb-2025 15:08 82107
xandikos-doc-0.2.12-r2.apk 17-Feb-2025 15:08 2423
xandikos-openrc-0.2.12-r2.apk 17-Feb-2025 15:08 2181
xandikos-pyc-0.2.12-r2.apk 17-Feb-2025 15:08 160886
xcape-1.2-r0.apk 25-Oct-2024 19:11 6739
xcape-doc-1.2-r0.apk 25-Oct-2024 19:11 2906
xcompmgr-1.1.9-r0.apk 25-Oct-2024 19:11 15030
xcompmgr-doc-1.1.9-r0.apk 25-Oct-2024 19:11 2671
xdg-desktop-portal-hyprland-1.3.3-r0.apk 25-Oct-2024 19:11 243902
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk 25-Oct-2024 19:11 2489
xdg-ninja-0.2.0.2-r0.apk 25-Oct-2024 19:11 72164
xed-3.8.2-r0.apk 05-Feb-2025 22:14 1143650
xed-dev-3.8.2-r0.apk 05-Feb-2025 22:14 13926
xed-doc-3.8.2-r0.apk 05-Feb-2025 22:14 994153
xed-lang-3.8.2-r0.apk 05-Feb-2025 22:14 2177414
xed-python-3.8.2-r0.apk 05-Feb-2025 22:14 24855
xemu-0.8.5-r0.apk 05-Jan-2025 01:36 5126816
xendmail-0.4.3-r0.apk 25-Oct-2024 19:11 987723
xendmail-doc-0.4.3-r0.apk 25-Oct-2024 19:11 2588
xfce4-hamster-plugin-1.17-r0.apk 25-Oct-2024 19:11 36249
xfce4-hamster-plugin-lang-1.17-r0.apk 25-Oct-2024 19:11 5183
xfce4-mixer-4.18.1-r2.apk 25-Oct-2024 19:11 89779
xfce4-mixer-doc-4.18.1-r2.apk 25-Oct-2024 19:11 2559
xfce4-mixer-lang-4.18.1-r2.apk 25-Oct-2024 19:11 60173
xfce4-panel-profiles-1.0.14-r1.apk 25-Oct-2024 19:11 57889
xfce4-panel-profiles-doc-1.0.14-r1.apk 25-Oct-2024 19:11 19897
xfce4-panel-profiles-lang-1.0.14-r1.apk 25-Oct-2024 19:11 45385
xfd-1.1.4-r0.apk 25-Oct-2024 19:11 13848
xfd-doc-1.1.4-r0.apk 25-Oct-2024 19:11 5044
xfe-1.46.2-r0.apk 25-Oct-2024 19:11 1396532
xfe-doc-1.46.2-r0.apk 25-Oct-2024 19:11 4077
xfe-lang-1.46.2-r0.apk 25-Oct-2024 19:11 546549
xfe-xfi-1.46.2-r0.apk 25-Oct-2024 19:11 276111
xfe-xfp-1.46.2-r0.apk 25-Oct-2024 19:11 251616
xfe-xfw-1.46.2-r0.apk 25-Oct-2024 19:11 293909
xgalaga-2.1.1.0-r1.apk 25-Oct-2024 19:11 320862
xgalaga-doc-2.1.1.0-r1.apk 25-Oct-2024 19:11 2605
xiccd-0.3.0_git20211219-r1.apk 25-Oct-2024 19:11 16001
xiccd-doc-0.3.0_git20211219-r1.apk 25-Oct-2024 19:11 3379
xisxwayland-2-r1.apk 25-Oct-2024 19:11 4291
xisxwayland-doc-2-r1.apk 25-Oct-2024 19:11 2018
xkb-switch-1.8.5-r0.apk 25-Oct-2024 19:11 16076
xkb-switch-doc-1.8.5-r0.apk 25-Oct-2024 19:11 1931
xlhtml-0.5.1-r0.apk 25-Oct-2024 19:11 11819
xlhtml-doc-0.5.1-r0.apk 25-Oct-2024 19:11 2563
xload-1.1.4-r0.apk 25-Oct-2024 19:11 6819
xload-doc-1.1.4-r0.apk 25-Oct-2024 19:11 3281
xmag-1.0.8-r0.apk 25-Oct-2024 19:11 16910
xmag-doc-1.0.8-r0.apk 25-Oct-2024 19:11 4854
xml2rfc-3.27.0-r0.apk 28-Feb-2025 05:51 360104
xml2rfc-pyc-3.27.0-r0.apk 28-Feb-2025 05:51 417308
xmp-4.2.0-r0.apk 25-Oct-2024 19:11 23741
xmp-doc-4.2.0-r0.apk 25-Oct-2024 19:11 5445
xmpp-dns-0.2.4-r21.apk 12-Feb-2025 17:34 1761202
xmppipe-0.16.0-r1.apk 25-Oct-2024 19:11 16837
xone-src-0.3_git20230517-r0.apk 25-Oct-2024 19:11 44482
xonsh-0.19.1-r0.apk 05-Feb-2025 22:14 598647
xonsh-pyc-0.19.1-r0.apk 05-Feb-2025 22:14 1064519
xosview-1.24-r0.apk 25-Oct-2024 19:11 116269
xosview-doc-1.24-r0.apk 25-Oct-2024 19:11 12821
xsane-0.999-r2.apk 25-Oct-2024 19:11 1561201
xsane-doc-0.999-r2.apk 25-Oct-2024 19:11 4411
xsane-lang-0.999-r2.apk 25-Oct-2024 19:11 450898
xsecurelock-1.9.0-r1.apk 25-Oct-2024 19:11 77174
xsecurelock-doc-1.9.0-r1.apk 25-Oct-2024 19:11 18177
xsoldier-1.8-r2.apk 25-Oct-2024 19:11 69869
xsoldier-doc-1.8-r2.apk 25-Oct-2024 19:11 2679
xtensor-0.25.0-r0.apk 15-Jan-2025 02:50 273871
xtl-0.7.7-r0.apk 25-Oct-2024 19:11 113214
xva-img-1.5-r0.apk 25-Oct-2024 19:11 17303
xvidtune-1.0.4-r0.apk 25-Oct-2024 19:11 17422
xvidtune-doc-1.0.4-r0.apk 25-Oct-2024 19:11 4274
xvkbd-4.1-r2.apk 25-Oct-2024 19:11 300955
xvkbd-doc-4.1-r2.apk 25-Oct-2024 19:11 11031
xwayland-satellite-0.5-r0.apk 26-Jan-2025 06:27 779777
xwaylandvideobridge-0.4.0-r1.apk 25-Oct-2024 19:11 50536
xwaylandvideobridge-lang-0.4.0-r1.apk 25-Oct-2024 19:11 11292
yaegi-0.16.1-r5.apk 12-Feb-2025 17:34 7034029
yamkix-0.10.0-r1.apk 25-Oct-2024 19:11 14570
yamkix-pyc-0.10.0-r1.apk 25-Oct-2024 19:11 12059
yamlfmt-0.15.0-r2.apk 12-Feb-2025 17:34 1598969
yamlfmt-doc-0.15.0-r2.apk 12-Feb-2025 17:34 5584
yarn-berry-4.6.0-r0.apk 07-Jan-2025 07:02 993512
yarr-2.4-r10.apk 12-Feb-2025 17:34 4135265
yarr-doc-2.4-r10.apk 12-Feb-2025 17:34 7639
yarr-openrc-2.4-r10.apk 12-Feb-2025 17:34 1994
yaru-common-23.10.0-r1.apk 01-Dec-2024 02:28 4592
yaru-icon-theme-23.10.0-r1.apk 01-Dec-2024 02:28 36358408
yaru-icon-theme-bark-23.10.0-r1.apk 01-Dec-2024 02:28 1091148
yaru-icon-theme-blue-23.10.0-r1.apk 01-Dec-2024 02:28 1132076
yaru-icon-theme-magenta-23.10.0-r1.apk 01-Dec-2024 02:28 1107728
yaru-icon-theme-mate-23.10.0-r1.apk 01-Dec-2024 02:28 1301851
yaru-icon-theme-olive-23.10.0-r1.apk 01-Dec-2024 02:28 1104621
yaru-icon-theme-prussiangreen-23.10.0-r1.apk 01-Dec-2024 02:28 1118723
yaru-icon-theme-purple-23.10.0-r1.apk 01-Dec-2024 02:28 1154656
yaru-icon-theme-red-23.10.0-r1.apk 01-Dec-2024 02:28 1161612
yaru-icon-theme-sage-23.10.0-r1.apk 01-Dec-2024 02:28 1140714
yaru-icon-theme-viridian-23.10.0-r1.apk 01-Dec-2024 02:28 1116089
yaru-schemas-23.10.0-r1.apk 01-Dec-2024 02:28 1841
yaru-shell-23.10.0-r1.apk 01-Dec-2024 02:28 753111
yaru-sounds-23.10.0-r1.apk 01-Dec-2024 02:28 691837
yaru-theme-23.10.0-r1.apk 01-Dec-2024 02:28 859654
yaru-theme-bark-23.10.0-r1.apk 01-Dec-2024 02:28 781212
yaru-theme-blue-23.10.0-r1.apk 01-Dec-2024 02:28 782918
yaru-theme-hdpi-23.10.0-r1.apk 01-Dec-2024 02:28 74973
yaru-theme-magenta-23.10.0-r1.apk 01-Dec-2024 02:28 779635
yaru-theme-mate-23.10.0-r1.apk 01-Dec-2024 02:28 744827
yaru-theme-olive-23.10.0-r1.apk 01-Dec-2024 02:28 777337
yaru-theme-prussiangreen-23.10.0-r1.apk 01-Dec-2024 02:28 777907
yaru-theme-purple-23.10.0-r1.apk 01-Dec-2024 02:28 774618
yaru-theme-red-23.10.0-r1.apk 01-Dec-2024 02:28 778633
yaru-theme-sage-23.10.0-r1.apk 01-Dec-2024 02:28 780747
yaru-theme-viridian-23.10.0-r1.apk 01-Dec-2024 02:28 777847
yass-2.5.0-r0.apk 25-Oct-2024 19:11 14775992
yazi-25.2.26-r0.apk 26-Feb-2025 20:46 4501538
yazi-bash-completion-25.2.26-r0.apk 26-Feb-2025 20:46 2090
yazi-cli-25.2.26-r0.apk 26-Feb-2025 20:46 970062
yazi-doc-25.2.26-r0.apk 26-Feb-2025 20:46 2252
yazi-fish-completion-25.2.26-r0.apk 26-Feb-2025 20:46 1830
yazi-zsh-completion-25.2.26-r0.apk 26-Feb-2025 20:46 2166
ydcv-0.7-r8.apk 25-Oct-2024 19:11 20505
ydcv-pyc-0.7-r8.apk 25-Oct-2024 19:11 10829
ydcv-zsh-completion-0.7-r8.apk 25-Oct-2024 19:11 2205
yeti-1.1-r0.apk 25-Oct-2024 19:11 835551
yices2-2.6.4-r0.apk 25-Oct-2024 19:11 2280108
yices2-dev-2.6.4-r0.apk 25-Oct-2024 19:11 42036
yices2-libs-2.6.4-r0.apk 25-Oct-2024 19:11 832207
yodl-4.02.00-r1.apk 25-Oct-2024 19:11 143881
yodl-doc-4.02.00-r1.apk 25-Oct-2024 19:11 60402
yoe-kiosk-browser-0_git20231118-r0.apk 25-Oct-2024 19:11 9755
yoshimi-2.3.2-r0.apk 25-Oct-2024 19:11 5849400
yoshimi-doc-2.3.2-r0.apk 25-Oct-2024 19:11 4673343
yosys-0.42-r0.apk 25-Oct-2024 19:11 18289492
yosys-dev-0.42-r0.apk 25-Oct-2024 19:11 121544
youki-0.4.1-r0.apk 25-Oct-2024 19:11 1469179
youki-dbg-0.4.1-r0.apk 25-Oct-2024 19:11 4702
youtube-tui-0.8.1-r0.apk 27-Feb-2025 12:28 1351782
youtube-viewer-3.11.1-r0.apk 25-Oct-2024 19:11 85477
youtube-viewer-doc-3.11.1-r0.apk 25-Oct-2024 19:11 41755
youtube-viewer-gtk-3.11.1-r0.apk 25-Oct-2024 19:11 175089
ytmdl-2024.08.15.1-r0.apk 25-Oct-2024 19:11 51228
ytmdl-bash-completion-2024.08.15.1-r0.apk 25-Oct-2024 19:11 2296
ytmdl-pyc-2024.08.15.1-r0.apk 25-Oct-2024 19:11 79949
ytmdl-zsh-completion-2024.08.15.1-r0.apk 25-Oct-2024 19:11 2133
ytt-0.47.0-r7.apk 12-Feb-2025 17:34 4355309
yubikey-agent-0.1.6-r9.apk 12-Feb-2025 17:34 1751812
z-1.12-r0.apk 25-Oct-2024 19:11 4717
z-doc-1.12-r0.apk 25-Oct-2024 19:11 4008
zafiro-icon-theme-1.3-r0.apk 25-Oct-2024 19:11 20169315
zapret-0.0.0_git20220125-r1.apk 25-Oct-2024 19:11 82172
zapret-doc-0.0.0_git20220125-r1.apk 25-Oct-2024 19:11 100826
zapret-openrc-0.0.0_git20220125-r1.apk 25-Oct-2024 19:11 2132
zarchive-0.1.2-r2.apk 25-Oct-2024 19:11 15877
zarchive-dev-0.1.2-r2.apk 25-Oct-2024 19:11 6916
zarchive-libs-0.1.2-r2.apk 25-Oct-2024 19:11 23451
zarf-0.32.1-r7.apk 12-Feb-2025 17:34 52442321
zed-0.138.4-r4.apk 25-Oct-2024 19:11 34267793
zfs-src-2.2.4-r1.apk 09-Jan-2025 11:46 33987605
zfsbootmenu-2.3.0-r1.apk 25-Oct-2024 19:11 131326
zfsbootmenu-doc-2.3.0-r1.apk 25-Oct-2024 19:11 16175
zile-2.6.2-r1.apk 25-Oct-2024 19:11 121102
zile-doc-2.6.2-r1.apk 25-Oct-2024 19:11 16186
zita-njbridge-0.4.8-r1.apk 25-Oct-2024 19:11 29093
zita-njbridge-doc-0.4.8-r1.apk 25-Oct-2024 19:11 5358
zita-resampler-1.10.1-r0.apk 25-Oct-2024 19:11 23721
zita-resampler-dev-1.10.1-r0.apk 25-Oct-2024 19:11 3441
zita-resampler-doc-1.10.1-r0.apk 25-Oct-2024 19:11 4154
znc-backlog-0_git20210503-r8.apk 25-Oct-2024 19:11 21645
znc-clientbuffer-1.0.48-r8.apk 25-Oct-2024 19:11 18874
znc-playback-0_git20210503-r8.apk 25-Oct-2024 19:11 20325
znc-push-0_git20220823-r8.apk 25-Oct-2024 19:11 89542
zot-2.1.2-r1.apk 12-Feb-2025 17:34 68996485
zot-cli-2.1.2-r1.apk 12-Feb-2025 17:34 9463463
zot-cli-bash-completion-2.1.2-r1.apk 12-Feb-2025 17:34 5119
zot-cli-fish-completion-2.1.2-r1.apk 12-Feb-2025 17:34 4352
zot-cli-zsh-completion-2.1.2-r1.apk 12-Feb-2025 17:34 4073
zot-doc-2.1.2-r1.apk 12-Feb-2025 17:34 7861
zot-exporter-2.1.2-r1.apk 12-Feb-2025 17:34 4732036
zot-openrc-2.1.2-r1.apk 12-Feb-2025 17:34 2080
zrepl-0.6.1-r8.apk 12-Feb-2025 17:34 6551192
zrepl-bash-completion-0.6.1-r8.apk 12-Feb-2025 17:34 4512
zrepl-openrc-0.6.1-r8.apk 12-Feb-2025 17:34 1705
zrepl-zsh-completion-0.6.1-r8.apk 12-Feb-2025 17:34 1925
zsh-fzf-tab-0_git20220331-r1.apk 25-Oct-2024 19:11 16434
zsh-histdb-skim-0.8.6-r0.apk 25-Oct-2024 19:11 758593
zsh-manydots-magic-0_git20230607-r1.apk 25-Oct-2024 19:11 2932
zulip-desktop-5.11.1-r2.apk 31-Oct-2024 01:52 2308216
zutty-0.16-r0.apk 12-Jan-2025 21:00 146633
zutty-doc-0.16-r0.apk 12-Jan-2025 21:00 68161
zvbi-0.2.43-r0.apk 07-Dec-2024 20:32 177467
zvbi-doc-0.2.43-r0.apk 07-Dec-2024 20:32 21540
zycore-1.5.0-r0.apk 25-Oct-2024 19:11 21216
zycore-dev-1.5.0-r0.apk 25-Oct-2024 19:11 39331
zycore-doc-1.5.0-r0.apk 25-Oct-2024 19:11 403589
zydis-4.1.0-r0.apk 25-Oct-2024 19:11 218277
zydis-dev-4.1.0-r0.apk 25-Oct-2024 19:11 62298
zydis-doc-4.1.0-r0.apk 25-Oct-2024 19:11 1652044